Перейти к содержанию
    

Вентильное моделирование в Modelsim 10.

Почему-то Modelsim не хочет находить сгенерированный квартусом SDF-файл.

В закладке "SDF" окна "Start Simulation" выбираю файл (от реально там есть)

 

post-26907-1511434850_thumb.jpg

 

При старте симуляции получаю следующее:

 

vsim -L {D:/WORK/Altera/ModelSim Lib/verilog_libs/maxii_ver} -sdftyp tb/s1=D:/WORK/Altera/Keratom3_main/simulation/modelsim/Keratom3_v.sdo -novopt tb
# vsim -L {D:/WORK/Altera/ModelSim Lib/verilog_libs/maxii_ver} -sdftyp tb/s1=D:/WORK/Altera/Keratom3_main/simulation/modelsim/Keratom3_v.sdo -novopt tb 
# Loading work.tb
# Loading work.Main
# Loading D:/WORK/Altera/ModelSim Lib/verilog_libs/maxii_ver.maxii_lcell
# Loading D:/WORK/Altera/ModelSim Lib/verilog_libs/maxii_ver.maxii_asynch_lcell
# Loading D:/WORK/Altera/ModelSim Lib/verilog_libs/maxii_ver.maxii_lcell_register
# Loading D:/WORK/Altera/ModelSim Lib/verilog_libs/maxii_ver.maxii_io
# Loading work.FTDIAsincModel
# ** INTERNAL ERROR: mtiarOpen(): Unrecognized file path (Keratom3_v.sdo)
[b]# ** Error: (vsim-SDF-3894) Keratom3_v.sdo: Compiled SDF file was not found.[/b]
# Loading instances from D:/WORK/Altera/Keratom3_main/simulation/modelsim/Keratom3_v.sdo
# ** Warning: (vsim-3017) D:/WORK/Altera/Keratom3_main/testbench_FIFO.v(93): [TFMPC] - Too few port connections. Expected 26, found 22.
#         Region: /tb/s1
# ** Warning: (vsim-3722) D:/WORK/Altera/Keratom3_main/testbench_FIFO.v(93): [TFMPC] - Missing connection for port 'SPICS'.
# ** Warning: (vsim-3722) D:/WORK/Altera/Keratom3_main/testbench_FIFO.v(93): [TFMPC] - Missing connection for port 'SPIClk'.
# ** Warning: (vsim-3722) D:/WORK/Altera/Keratom3_main/testbench_FIFO.v(93): [TFMPC] - Missing connection for port 'SPIIn'.
# ** Warning: (vsim-3722) D:/WORK/Altera/Keratom3_main/testbench_FIFO.v(93): [TFMPC] - Missing connection for port 'SPIOut'.
# Loading timing data from D:/WORK/Altera/Keratom3_main/simulation/modelsim/Keratom3_v.sdo
[b]# ** Error: (vsim-7) Failed to open SDF file "Keratom3_v.sdo" in read mode.
# No such file or directory. (errno = ENOENT)[/b]
# ** Error: (vsim-SDF-3445) Failed to parse SDF file "Keratom3_v.sdo".
#    Time: 0 ps  Iteration: 0  Instance: /tb File: D:/WORK/Altera/Keratom3_main/testbench_FIFO.v
# Error loading design
# Load canceled

 

Кстати, есть еще одна непонятка: Modelsim не видит альтеровские библиотеки, которые прописаны в окне Library.

 

post-26907-1511434867_thumb.jpg

 

vsim -sdftyp tb/s1=D:/WORK/Altera/Keratom3_main/simulation/modelsim/Keratom3_v.sdo -novopt tb
# vsim -sdftyp tb/s1=D:/WORK/Altera/Keratom3_main/simulation/modelsim/Keratom3_v.sdo -novopt tb 
# Loading work.tb
# Loading work.Main
# ** Error: (vsim-3033) D:/WORK/Altera/Keratom3_main/simulation/modelsim/Keratom3.vo(1015): Instantiation of 'maxii_lcell' failed. The design unit was not found.
#         Region: /tb/s1
#         Searched libraries:
#             D:\WORK\Altera\Keratom3_main\work
# ** Error: (vsim-3033) D:/WORK/Altera/Keratom3_main/simulation/modelsim/Keratom3.vo(1055): Instantiation of 'maxii_lcell' failed. The design unit was not found.
#         Region: /tb/s1
#         Searched libraries:
#             D:\WORK\Altera\Keratom3_main\work
... и так таких сообщений несколько десятков

 

Но если прописать тот же самый путь в закладке Libraries окна "Start Simulation", то эти сообщения исчезают.

 

post-26907-1511434895_thumb.jpg

 

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Верхний уровень (т. е. тестбенч) -- это / без всяких tb. Соответственно, sdf надо аттачить непосредственно к испытуемому модулю:

vsim -sdftyp /s1=D:/WORK/Altera/Keratom3_main/simulation/modelsim/Keratom3_v.sdo -novopt tb

причём s1 -- это метка инстанса компонента, а не имя модуля.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Верхний уровень (т. е. тестбенч) -- это / без всяких tb. Соответственно, sdf надо аттачить непосредственно к испытуемому модулю:

vsim -sdftyp /s1=D:/WORK/Altera/Keratom3_main/simulation/modelsim/Keratom3_v.sdo -novopt tb

причём s1 -- это метка инстанса компонента, а не имя модуля.

 

Попробовал эту строку, только пришлось добавить к ней путь до библиотеки:

 

vsim -L {D:/WORK/Altera/ModelSim Lib/verilog_libs/maxii_ver} -sdftyp /s1=D:/WORK/Altera/Keratom3_main/simulation/modelsim/Keratom3_v.sdo

 

Эффект тот же :(

 

s1 конечно экземпляр модуля.

 

Не знаю, может попробую поставить более новый Modelsim...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Установка версии 10.5 не помогла. Помог перенос SDF-файла из \simulation\modelsim в рабочую паку. Сразу заработало! Возможно, слишком длинная строка пути. Хотя файл *.vo лежит на прежнем месте,в \simulation\modelsim и нормально находится.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Попробуйте прямо в тело тестбенча вставить команду $sdf_annotate (синтаксис и примеры нагуглите).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...