Перейти к содержанию
    

Xilinx FPGA Artix 7 clock pins

Что-то я не понимаю, какие пины можно использовать в качестве входных для задающего генератора? В spartan-6 все было просто, GCLK, RCLK, LCLK. А тут... Понятно, что можно создать проект, выбрать пин, сделать входным для клока и посмотреть не будет ли ругаться. Но если проекта еще нет, а схема нужна уже вчера?

 

Верно ли я понимаю? В качестве глобального клока используются входы с маркировкой MRCC?

Изменено пользователем Vadim_nsk

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...