Перейти к содержанию
    

naliwator

Свой
  • Постов

    145
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о naliwator

  • Звание
    Частый гость
    Частый гость
  • День рождения 03.12.1978

Контакты

  • Сайт
    Array
  • ICQ
    Array

Информация

  • Город
    Array

Посетители профиля

3 806 просмотров профиля
  1. Посмотрите на схему 5-10 и попробуйте использовать вход clkswitch в мегафункции PLL вместо clkctrl блока. Обратите внимание на схему 5-2 и таблицу 5-1, возможно они содержат ответы на ваши вопросы.
  2. Не совсем понятна задача: вы собираетесь промодулировать мегафункции в моделсиме без проекта? Если вы включите их в како-нибудь тестовый проект, то сможете в моделсиме просмотреть их поведение.
  3. :a14: Благодарю. Проблема решена. Сделаю пометку на полях: Nios II Software Developer’s -> 4. -> Specifying Default Bootloader Parameters
  4. Лучше Report Path... Targets from ... ищите нужный регистр, указав в collection get_registers, Targets to ... ищите нужный пин, указав в коллекции get_pins.
  5. В настройках отслеживаю, а что может скрываться за "allow code at reset"?
  6. Проблема не в том, что не удаётся запустить программу на исполнение или отладку, проблема при конфигурации системы. В указанной конфигурации присутствует проблема, если проект запускать с вектором сброса на ОЗУ, то проблемы нет. Возможно, что кто-нибудь сталкивался с этой проблемой...
  7. Приветствую. Бьюсь некоторое время со следующей проблемой, система: 1. Q12.1, 2. Qsys, 3. Nios II система, варианты: 1) ОЗУ - onchip, загрузка из epcs; 2) ОЗУ - ssram, загрузка из cfi. Автономный запуск платы - всё хорошо, программа стартует. Проблема заключается в том, что при попытке подключиться дебаггером eclipse, или при попытке залить тот же elf файл, образ которого успешно стартовал в системе, выпадает ошибка загрузки elf с указанием ошибки верификации по адресу сброса, установленному, естественно, на флеш память. На "родном" форуме ситуация тупиковая. Точно помню, что при работе на Q10 с подобной проблемой не сталкивался, однако в эту сторону смотрю осторожно.
  8. Посмотрите интересную ветку на альтеровском форуме.
  9. Посмотрите на распределение адресного пространства. Выберите автоматическое задание адресов. Посмотрите в настройках проекта поддержка С++. Выключете её, если используете только внутреннюю память. Вообще, если вы только знакомитесь с Nios II, загляните сюда. Возможно, пригодится.
  10. Логический анализатор SignalTap добавляет свою логику к проекту, при этом вашему проекту задаёт раздел со свойством post-fit. Конфигурация FPGA составляет теперь эти два проекта. В логическом анализаторе есть параметры, после изменения которых требуется выполнять полную перекомпиляцию проекта. Об этом он вас и спрашивает в сообщении. На вкладке настроек проекта в Quartus II вы указываете путь к файлу логического анализатора, ассоциированного с вашим проектом.
  11. Пополнение библиотеки. Учебное пособие. Использование стека NicheStack TCP/IP - версия под Nios II Это мой перевод Using the NicheStack TCP/IP Stack - Nios II Edition Tutorial. TU-01001-3.0 В главе подробно описывается пример проекта Nios II Simple Socket Server. Описывается его взаимодействие с системными задачами MicroC/OS-II, детально описано фукнционирование и настройка приложения.
  12. Может вам помогут пошаговые инструкции, которые уже давно лежат?..
  13. Не совсем понял ваш вопрос. То есть, проект в SRAM памяти работает и проект из EPCS грузится. Но совместный проект не работает?
  14. Предлагаю вам преодолеть этот путь постепенно. Сначала создайте проект, который грузится из внутренней памяти. Потом проект, загружающийся из epcs. Потом переходите к проекту, работающему во внешней памяти. И у вас всё получится.
  15. А что вам мешает сделать по этому же мануалу проект в SRAM?
×
×
  • Создать...