Перейти к содержанию
    

StewartLittle

Свой
  • Постов

    3 056
  • Зарегистрирован

  • Посещение

  • Победитель дней

    15

StewartLittle стал победителем дня 6 марта

StewartLittle имел наиболее популярный контент!

Репутация

40 Очень хороший

9 Подписчиков

Информация о StewartLittle

  • Звание
    Лентяй
    Гуру
  • День рождения 05.03.1971

Контакты

  • Сайт
    Array
  • ICQ
    Array

Информация

  • Город
    Array

Retained

  • Звание
    Array

Посетители профиля

19 697 просмотров профиля
  1. Еще заметил такую штуку - в Gowin EDA v1.9.9.01_x64, под Windows, похоже, слегка поломали программер - при прошивке встроенного флэша с верификацией в GW1N/NR - всегда вываливается ошибка верификации. Наблюдается в варианте GUI (в CLI я пока не проверял). В предыдущей версии v1.9.9_x64 все работает, как ему положено. При этом, что интересно - ошибка верификации пропадает при следующей последовательности действий: - втыкаем программатор в USB; - запускаем v1.9.9.01 и прошиваем флэш в программере (и получаем ошибку верификации); - закрываем v1.9.9.01, открываем предыдущую версию (я пробовал v1.9.9), прошиваем флэш - верификация происходит штатно; - закрываем предыдущую версию, снова открываем v1.9.9.01, прошиваем флэш, и, о чудо! - верификация проходит штатно! И работает до тех пор, пока не переткнешь прорамматор в USB. После перетыкания программатора в USB - снова ошибка верификации в v1.9.9.01.
  2. Ну, тогда, поди, штатный программер Gowin работать перестанет... Похоже, элегантно моя задача не решается. А ситуация такая - есть партия GW1N-LV1LQ100C6/I5 (которые уже с производства сняты), купленная на Taobao. И там, через две микросхемы на третью, при попытке программирования штатным программером Gowin EDA выдается ошибка VLD Down. Но многие микросхемы с такой ошибкой прошиваются с помощью openFPGALoader. При этом, похоже, у них как-то "прочищаются мозги", и после прошивки openFPGALoader'ом они начинают нормально прошиваться и штатным программером (механизм того, как это происходит, мне не понятен - но что есть, то есть). Вот и хотелось иметь возможность оперативно переключаться с одного программера на другой. Но, похоже, такой фокус не пройдет...
  3. А вот такой вопрос - кто-нибудь пробовал заставить работать openFPGALoader в Win10 под WSL ? Под убунтой он у меня работает, но хочется под виндами (дабы туда-сюда не переключаться). А в WSL он запускается, но до железа достучаться не может:
  4. В пятницу сделал пробный заказ в "Электронщике" (после возобновления работы), вот только что доставили. Итого - 2 дня до СПб, доставка DPD до двери, стоимость доставки 473 рубля. Работать можно!
  5. Так, беру свои слова назад (каюсь, посыпаю голову пеплом и т.п.). Похоже там дело не в отсутствующей поддержке, там все несколько сложнее. Линии AF2 и AE2 связаны с аппаратным блоком MIPI. Возможно, эти линии не назначаются врукопашную, а задействуются автоматически при использовании в проекте аппаратного блока MIPI RX (через IP Core Generator). Надо поэкспериментировать добавлением и настройками этого блока, и посмотреть на файлы соответствующих отчетов.
  6. Корпус FPG676A ? Да, есть такое дело... Если во флорпланнере подсветить эти пины курсором, то номер IO Bank там указывается "-1". Это уже как бы намекает на то, что что-то там с поддержкой не доделано... Если в настройках отключить функцию Promote Physical Constraint Warning to Error, то вместо ошибки компилятор выдает такое же точно предупреждение, но сигнал назначает на другой доступный свободный пин. Так что, видимо, придется ждать обновления САПР, в котором поддержка GW5AST будет доделана.
  7. В VHDL процесс запускается по ЛЮБОМУ изменению ЛЮБОГО сигнала из списка чувствительности. Изменение сигналов HS_CLK_x_io должно запускать процесс? Или нет? А сигналы HS_CLK_x_i вообще нет смысла в список чувствительности включать.
  8. А я со старой учеткой вошел... Только вот с доставкой там сейчас беда... В Питер - ни пикпойнт, ни чего-либо другого подобного не предлагается. А курьера ждать - так себе...
  9. Лог с ошибками в студию! Примеров вот именно для CSI-2 RX я не видел, но другие примеры можно взять вот отсюда: https://github.com/sipeed/TangMega-138KPro-example
  10. Да, правильно. Но только не для JTAG'а, а для создания проекта для ПЛИС на этой плате. На плате Tang Nano, которая, кстати, уже снята с производства, используется ПЛИС GW1N-LV1, которая тоже уже снята с производства. Поддержка GW1N-1 есть только в стандартной версии (в эдьюкейшен версии ее нет). Поэтому для Tang Nano и требуется стандартная версия Gowin EDA. Вообще же использование Tang Nano, по нынешним временам - так себе вариант... Лучше взять какую-нибудь другую плату - Tang Nano 1K, Tang Nano 4K или Tang Nano 9K.
  11. По первому вопросу - посмотрите в симуляционной модели топового файла проекта - не добавлена ли модель Вашего PLL там внутри?
  12. Вы новый модуль ALTPLL из библиотеки добавляете. А нужно в навигаторе проекта открывать уже добавленный в него и сконфигурированный модуль (с именем, которое Вы ему присвоили в момент создания) . На моей картинке смотрите в левом верхнем углу.
  13. Как именно Вы это делаете? У меня в Quartus Prime Standard v18.1 открывается добавленный в проект PLL, с заданными ранее настройками. И ничего перезаписывать не предлагает.
×
×
  • Создать...