Перейти к содержанию
    

p5ff

Участник
  • Постов

    15
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о p5ff

  • Звание
    Участник
    Участник
  1. Отказывается мой монитор воспроизводить 1920х1080@25Гц. А жаль. Пока остановился на размещении части кадра в буфере на плис. Потом, видимо, все же придется подключать озу.
  2. Хотелось бы все же реализовать на ПЛИС, поэтому и вопрос соответствующий. (:
  3. Повторять кадр дважды потребует значительного буфера (1280х1024х8 бит), которого на плис нет. Есть внешнее ОЗУ, но для него пока ничего нет. К тому же это технологический интерфейс, которого потом скорее всего не будет, поэтому очень хотелось сделать как можно проще. Выход именно DVI. Нашел в стандарте CEA 861 E 1920х1080@25Hz, но в VESA его нет. Свою картинку туда вместить не проблема, проблема в том, будет ли это показывать монитор, потому что как-то он не захотел сразу. Ищу ошибки пока. За стандарты большое спасибо!
  4. Вся проблема в том, что я не найду таймингов для 25Гц сигнала. На входе у меня тактовая частота 43МГц, 2 пискселя за такт, 25 кадров в секунду. Взял за основу тайминги сигналов HSYNC, VSYNC, DE для видео 60Гц 1280х1024 по калькулятору http://www.epanorama.net/faq/vga2rgb/calc.html . Там длина сигналов указана условно в пикселях. Сделал 43Мгц частоту на выход, чтобы получалось 25 кадров в секудну на dvi, вместо 60, но монитор видеть такой сигнал не хочет.
  5. Приветствую! Есть источник видео сигнала с камеры по CameraLink интерфейсу, его частота 25к/с. Надо этот сигнал передать по DVI на жк монитор. Какой вариант будет самым простейшим в реализации на плис (есть плис, развернутый в параллельный вид cameralink и tfp410 для dvi)? Возможно ли обойтись без хранения всего кадра?
  6. Получил гигабитный линк до компьютера при смене режима "sgmii, cat5" (в 17h регистре 1010,01) на режим "sgmii, cat5, modified clause 37 auto-negotiation disabled" (в 17h регистре 1001,00), но пакеты от компьютера на плис я не вижу. Плис в свою очередь отправлять пакеты вообще не желает, забивая буфер emac. Каким способом можно наиболее эффективно проверить соединение sgmii?
  7. Очень интересует именно получение линка при отсутствии плис как таковой - будет он или нет? В том то и дело, что в этом даташите в п.33.1 (с.161) указана эта последовательность вроде бы как для инициализации начальной.
  8. При выключенном auto-negotiation получаю линк на 10 и 100Мбит/с. Как только включаю (через mdio) auto-negotiation, линк сразу пропадает.
  9. Вопрос - должен ли быть линк при пустой плис? И еще - на что влияет последовательность операций записи? • 2A30h to PHY Register 31 • 0212h to PHY Register 8 • 52B5h to PHY Register 31 • 000Fh to PHY Register 2 • 472Ah to PHY Register 1 • 8FA4h to PHY Register 0 • 2A30h to PHY Register 31 • 0012h to PHY Register 8 • 0000h to PHY Register 31
  10. Воу, полегче (: Линк должен появиться, если я верно понимаю, независимо от плис, поэтому я и не упомянул это. Лупбеки делал через phy, посредством записи битов через mdio. Доступ к mdio организован через host interface, что находится в "Virtex-6 Embedded Tri-Mode Ethernet MAC Wrapper" (из Core generator 13.2, версия ядра 1.5). Плис xc6vlx130t-2ffg784i.
  11. Получил линк в режиме far-end loopback, то есть все связи на местах. Но как только loopback убираю (far-end или near-end), линк теряется.
  12. Я так понимаю, что еще до начала работы с SGMII должен инициализироваться линк. Линк я смог получить только при использовании режима near-end loopback, когда вход замыкается на выход внутри микросхемы.
  13. Приветствую! Есть ли у кого положительный опыт работы с phy Vitesse VSC8211? Столкнулся со следующей проблеммой. Phy соединен с одной стороны с плис по sgmii и с трансформаторами с другой стороны. При этом при включении по-идее должен появиться линк, но его нет. Возможно есть ли какие-то тонкости, какая-то особенная инициализация? По конфигурационным резисторам все в норме. По mdio читается без вопросов, частоту 125МГц выдает. В регистрах читаю о включенном автосогласовании, о его незавершении и об отсутствии линка. Сигналы от phy на трансформатор не приходят, приходят лишь от компьютера.
  14. Проблема оказалась в совпадении адресов внешнего PHY и адреса PHYAD у temac. Наверное можно закрывать тему.
  15. Приветствую! Имеется Virtex6 xc6vlx130t, имеется embedded tri-mode Ethernet mac (v1.5), сконфигурированный coregenerator'ом. В этом блоке есть Host интерфейс, который позволяет обратиться к регистрам внешнего phy посредством MDIO интерфейса. Сам MDIO представлен 4 сигналами: MDIO_I, MDIO_O, MDIO_T, MDC. Первые 3 образуют двунаправленную шину MDIO_IO; MDC - тактовый. Сложилась проблема: MDIO_T, который отвечает за переключение шины на чтение работает некорректно. То есть вместо нахождения в единице все 16 тактов, необходимых для чтения, он выдает единицу лишь на 1 такт. При чем это лишь на определенных адресах (диапазон 0...20h что ли). Соответственно данные со входа попадают на выход и я читаю то, что мне выдает сам temac, но не phy. Сталкивался ли кто с подобной проблемой? Вроде бы ядро реализовано в кремнии, поэтому грешу на свою ошибку, которой к сожалению, не вижу.
×
×
  • Создать...