Перейти к содержанию
    

Prusak

Свой
  • Постов

    77
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о Prusak

  • Звание
    Частый гость
    Частый гость
  • День рождения 15.11.1984

Контакты

  • Сайт
    Array

Информация

  • Город
    Array
  1. По информации из даташита на FFT кору архитектуры burst, buffered burst, streaming содержат альтеровское изобретение block floating point (BFP): Т.е в BFP для каждого числа используется своя мантисса, но общий порядок числа (экспонента), в отличии от настоящего числа с плавающей запятой, где у каждого числа своя мантисса и экспонента. Полная реализация floating point чисел реализована в архитектуре variable streaming. Где используются 32-битные числа с плавающей запятой. Но опробовать эту архитектуру не дошли руки. У меня синусойда на выходе получалась нормальная (соответствующая матлабу), просто спектр был несимметричный и это все портило. novartis Может быть проблема с входным сигналом. У вас экспонента source_exp равна 1, посмотрел в даташите на кору - это минимальное значение для корки с вашими параметрами. Попробуйте увеличить уровень входного сигнала.
  2. Я подавал только действительный сигнал, код следующий: Fs = 40e6; % Частота дискретизации, Гц Td = 1/Fs; % период дискретизации сигнала Tend = 1e-1; % Конечное время наблюдения. t=0:Td:Tend; Fif = 1e6; % Частота синусойды, Гц A = 2^12; % Амлитуда синусойды Ns=32000; % Число сэмплов для записи в файл %Генерируем Синус S = A*sin(2*pi*Fif*t); % Открываем выходной файл на запись f1 = fopen('C:\sin_out.txt','w'); % Выводим данные в файл for i=1:Ns str_tmp = int2str(S(i)); % Преобразуем целое десятичное число в строку fprintf(f1, '%s \n', str_tmp); % Записываем ее в файл end; fclose(f1);
  3. Поздравляю :-) Да, я столкнулся с проблемами и тоже хочу здесь спросить у имевших опыт общения с этой коркой форумчан: Архитектура FFT: Burst, Quad output Число точек N =512 Разрядность данных : 14 На вход input_real подаю синусойду. На вход input_imag подаю 0. Получаю спектр сигнала на выходе и сразу подаю его на обратное преобразование IFFT, в качестве которой вторая корка FFT, с теми же параметрами, только, вывод inverse=1. И вижу что на выходе IFFT есть данные и на выходе real и image, т.е сигнал стал комплексным!! И даже после взятия модуля такого комплексного числа синусойда на выходе - сильно искажена. Начинаю разбираться и ахаю. Спектр на выходе FFT оказывается несимметричный (а при реальном сигнале на входе - он всегда должен быть симметричным)!!! Нет, он конечно похож, но конкретные числа немного отличаются. Пробовал увеличить разрядность данных до 16, игрался с параметром twiddle precision, пробовал архитектуры buffered burst, ничего не помогало. Сейчас подозреваю, что проблема в округлении. В даташите написано что в архитектуре variable streamming применен более продвинутый блок floating point, но опробывать эту архитектуру не успел. Выкрутился тем, что взял тупо первую половину спектра (1-N/2 отсчетов) и зеркально отобразил, и скопировал на место второй части (N/2-N отсчеты), конечно так не совсем честно, и для комплекс. сигнала не покатит, но в моем случае свою синусойду обратно я получил и без комплексного сигнала на выходе, на чем пока и успокоился.
  4. Вот, все так и должно быть. А на диаграмме ничего нет, потому что вы не добавили в нее сигналы из проекта. Попробуйте так: перед нажатием run -all в консоли написать add wave /fft/* или перенести мышкой из левой части, где список сигналов и процессов. Моделсима нет под рукой, не помню как окно называется...
  5. Отлично. До успешного результата осталось немного. Ладно я тут опишу как я моделился. 1. Надо подключить библиотеки от альтеры, если их еще нет (altera,altera_mf,lpm,sgate). Для этого заходим в Квартусе по адресу Tools->Launch EDA Simulator library compiler. Там выбираем среду - Modelsim, семейство ПЛИСа, языки VHDL,verilog и выходную папку. Можно скомпилировать их в папку с ModelSim, и далее прописать их в файле modelsim.ini, чтобы они всегда подгружались при запуске. 2. Написать нехитрый скрипт для компиляции и запуска FFT корки. Назвать его например fft.do Пути к файлам прописать свои естественно. Потом можно будет все это получше автоматизировать, но для того, чтобы посмотреть работу кора хватит. ## Компиляция vcom -work work -93 -explicit -quiet -source -O0 -cover s -novopt D:/altera/work/fft/fft_tb.vhd vcom -work work -93 -explicit -quiet -source -O0 -cover s -novopt D:/altera/work/fft/fft.vho ## Запуск проекта на моделирование vsim -t 1ps -title FFT work.fft_tb ## view wave Сама модель корки для функционального моделирования в файле fft.vho, в тестбенче ее entity уже подключен. Поэтому больше ничего делать не надо. 3. Запустить моделсим и перейти в папку с проектом с помощью команды cd <путь к проекту> 4. Запустить скипт командой do fft.do Все должно скомпилироваться, останется нажать только кнопку run -all и любовать результатом.
  6. Если до этого вы обходились симулятором, встроенным в Квартус, то, видимо, пришла пора осваивать более продвинутые системы моделирования. Можно ли в Квартусе запускать тестбенчи я не знаю, никогда им не пользовался. Это очень странно, а в отчете о созданных файлах они есть?? Надо бы разобраться, а то может он корку не сгенерировал.
  7. Ага, симулировал. Все симулруется на ура. Визард мегафункции сам создает файлы с входными данными, называются real_input.txt и imag_input.txt, должны быть в папке с проектом. Там и формат посмотрите - целые числа, соответствующие разрядности входных данных. Итак, вы хотите подать данные из текстовых файлов на вход корки БПФ и посмотреть результат на выходе. И все это в ModelSim я правильно понимаю?? Удалось поставить ModelSim??
  8. Прошу прощения коллеги. Был отрезан от интернета. Теперь все ок - я на связи. Киты еще не проданы. Ответил вам в личку.
  9. Продам 2 отладочных комплекта: 1. плата Spartan-3 Starter Kit В комплекте: - Плата - кабель загрузки Digilent JTAG3 - Диски с ISE - блок питания - мануалка Все это в коробке (см. фото 1). Ссыла на страницу с описанием: http://www.xilinx.com/products/devkits/HW-SPAR3-SK-UNI-G.htm 2. Плату с CPLD CoolRunner-2 и 9500XL Комплект в коробке: - плата - диски - адаптер для питания от батареек - мануалки Все в коробке (фото 2). Для полного комплекта не хватает кабеля загрузки PC3-JTAG, спалили студенты на разрабатываемом устройстве. Можно взять его от S3Kit, они полностью идентичны. Ссыла на полное описание: http://www.digilentinc.com/Products/Detail...amp;Nav1=Produc Платы покупал в свое время для изучения ПЛИСов, полностью рабочие, в хорошем состоянии. Отличное предложение для тех, кто хочет начать работать с ПЛИС. Продаю оба комплекта за 4000 рублев. При этом один только S3Kit в терре (самое дешевое предложение) за 5100руб. Пишите письмо в личку - отвечу телефоном.
  10. Изделие заработало! И это главное. По поводу качества плат: на одной местами не прорисовалась шелкография, в остальном претензий нет. В печке (на плате 1 BGA324, 8 QFN40 микросхем) вели себя нормально, не вздулись. Вообщем все бы было не плохо, если бы не некоторая неопределенность со сроками.
  11. Ну вот, получил платы :) Продолжение следует...
  12. Многослойки и ТеПро

    История такая. Заказали в тепро 4-х слойные ПП, проводники 0,1/0,1мм, отверстия 0,6/0,3 - короче по 5 классу точности. Заказали неспешную и не критичную плату дабы выяснить, стоит ли нам в ТеПро платы заказывать. Неделя ушла на утрясание проблем с герберами, все заказ пошел в производство 20 апреля. С тех пор поглядываю я на отчеты на сайте, сначала интересно было: там все в реальном времени показывается, сверление там, ламинирование, марганцовкой там ее значит обрабатывают. Правда местами нестыковки были, то 2-ое сверление раньше чем 1-ое, да еще и за 50 сек. сделали, то еще что-нибудь. Ну да ладно. Но через 3 дня вдруг список проведенных процедур сбросился и пошел по новой :( Еще через 3 дня тоже самое :(. А сроки идут... Звонил я в ТеПро, говорят плата по 5 классу, поэтому задерживается. Но так ведь обещали то 4-6 дней и при 5 классе. Вопрос к сотрудникам: если есть проблемы с изготовлением плат с такими параметрами, может стоило их занизить, но гарантированно делать платы в срок?? Тогда был бы вам почет и уважение, потому как быстро и дешево (про качество не знаю, платы придут - посмотрим). ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ Ваш заказ зарегистрирован со следующими параметрами Номер заказа: 123476 Файл: gns_v1.0.zip Количество плат или комплектов: 4 Вид материала: 4-х слойные ПП, 1,5 мм Вид покрытия: горячее лужение Нанесение паяльной маски: выполняется Шелкографическая маркировка: выполняется Скрайбирование заготовки: НЕ выполняется Электротест плат: выполняется Стоимость подготовки производства: 114.00 у.е. Площадь: 1.12500 дм2 Цена производства (за дм2): 38.10 у.е. Срок исполнения: МПП, 4-6 рабочих дней Доставка заказа: доставка нашим курьером Адрес доставки готового заказа: ... Стоимость доставки (входит в общую сумму): 350.00 руб. ___________________________________________________________ Сумма к оплате, с учетом НДС: 10143.70 руб. Контактные сотрудники по заказу: - Заказ сопровождает диспетчер (организационные вопросы): ЛЮБОЙ диспетчер клиентского отдела - Заказ подготовлен к производству обработчиком (технические вопросы): Соловьева Елена Александровна Стадии выполнения заказа: - ЗАРЕГИСТРИРОВАН : 23/3/2009 16:27:04 - ПОДГОТОВЛЕН к производству : 20/4/2009 16:48:43 - ПРОИЗВОДСТВО ( этапы показаны не в рабочей последовательности ) : Сверление - 1-ое :1/5/2009 00:22:50 - 2-ое :3/5/2009 15:59:33 Металлизация :3/5/2009 16:48:25 Ламинирование - 1-ое :1/5/2009 13:18:04 - 2-ое :4/5/2009 04:59:19 Травление - 1-ое :2/5/2009 15:19:33 Оксидирование - 1-ое :3/5/2009 11:49:37 - 2-ое :3/5/2009 10:17:00 Прессование - 1-ое :3/5/2009 13:02:42 Перманганатная обработка :3/5/2009 16:47:30 - Заказ НЕ ГОТОВ - Заказчик НЕ ИЗВЕЩЕН о готовности заказа - ОПЛАТА за заказ по б/н расчету прошла : 13/4/2009 08:13:48 - Заказ НЕ ОТГРУЖЕН заказчику Если вы хотите сделать следующий запрос о состоянии заказа - нажмите "Новый запрос". ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
  13. Здравствуйте. Возникла аналогичная проблема. Есть 2 проекта: один в PADS2007, другой в PCAD. Необходимо их объединить и результат желательно получить в PADS. Для этого я перевожу проект из PCAD в PADS транслятором PADS Converter v4.0. Получается все очень прилично и вполне юзабельно. Далее пытаюсь объединить проекты в PADS с помощью команды Reuse. И тут получаю облом. Оказывается этот Reuse не хочет добавлять некоторые полигоны, не оттрасированные площадки и т.д. Исправлять все это вручную на общем проекте - не творческое занятие. Можно ли как-нибудь отключить проверку Реузеру???. Пробовал выключать DRC - не помогло.
  14. Даже, если вы включите CAPS LOCK, выделите красным цветом ваши слова и поставите 10 восклицательных знаков, это не прибавит им аргументированности. А раз аргументов нет - то и ответить вам нечего. Считаю, что 5,5лет в ВУЗе нужны, как и 11 лет в школе - для становления личности. В эти годы человек учится нести ответственность за свои поступки, важно еще получить опыт успешной деятельности. Студент выполняет несложные задачи и видит, что у него это получается. Это создает мотивацию для дальнейшей деятельности. Конечно сегодня, в условиях полного успеха всех реформ и массового оболванивания населения все пошло клином. Молодых людей учат тому, что быть безответственным, раздолбаем, потребительски относиться к обществу, при этом быть агрессивным - это хорошо. Естественно из такого человека специалиста не получится. Сам недавно закончил тех.вуз, преподам на лапу не давал, за обучение не платил. Увлекался радолюбительством. Мне это было интересно, интересно было и учиться. И когда я пришел на работу больших изменений не произошло. Мне все было знакомо - схемы, платы, программы. Увлечение плавно перешло в профессионализм.
×
×
  • Создать...