Перейти к содержанию
    

Ещё один вопрос по Modelsim

Установил на новом компе, подключил библиотеки, и при вызове vopt происходит вот такая ошибка. Модельсимом пользуюсь очень редко, нюансы быстро забываются. Помогите,плз, растолковать ошибку.

............................................
# -- Loading entity arriav_mac
# -- Loading package body arriav_atom_pack
# -- Loading architecture arch of arriav_io_obuf
# -- Loading architecture arch of arriav_io_ibuf
# -- Loading architecture behavior of arriav_clkena
# ** Error: nofile(8): in protected region.
# ** Error: nofile(8): in protected region.
# ** Error: nofile(8): in protected region.
# ** Error: nofile(8): in protected region.
# ** Error: nofile(8): in protected region.
# ** Error: nofile(8): in protected region.
# ** Error: c:/altera/16.0/quartus/eda/sim_lib/arriav_atoms.vhd(4170): Vopt Compiler exiting
# End time: 12:09:07 on Apr 06,2017, Elapsed time: 0:00:01
# Errors: 7, Warnings: 0
# ** Error: C:/modeltech64_10.4/win64/vopt failed.
# Error in macro D:\MyDesigns\2017\Modelsim\RPM\run.do line 1
# Return status = 211
#     (procedure "compile" line 7)
#     invoked from within
# "compile NoFilter vopt +acc top_rpm_tb -o top_rpm_opt"
#     ("eval" body line 1)
#     invoked from within
# "eval compile NoFilter vopt $args"
#     (procedure "vopt" line 9)
#     invoked from within
# "vopt +acc top_rpm_tb -o top_rpm_opt"

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А как такие ошибки устранить тоже никто не знает?

# -- Loading architecture arch of arriav_io_ibuf
# -- Loading architecture behavior of arriav_clkena
# -- Loading architecture vital_lcell_comb of arriav_lcell_comb
# -- Loading architecture vital_dffeas of dffeas
# -- Loading architecture block_arch of arriav_ram_block
# ** Error (suppressible): c:/altera/16.0/quartus/eda/sim_lib/arriav_atoms.vhd(2589): (vopt-1127) Entity altera_lnsim.generic_m10k was not selected for default binding because it is out of date relative to dependency sv_std.std. 
# ** Warning: c:/altera/16.0/quartus/eda/sim_lib/arriav_atoms.vhd(2589): (vopt-3473) Component instance "/dsp_tb/UUT/c3/\fft_ii_0|asj_fft_si_se_so_bb_inst|twid_factors|twad_temp_rtl_0|auto_generat
ed|altsyncram4|ram_block5a0\/inst : generic_m10k" is not bound.
# ** Error (suppressible): c:/altera/16.0/quartus/eda/sim_lib/arriav_atoms.vhd(2589): (vopt-1127) Entity altera_lnsim.generic_m10k was not selected for default binding because it is out of date relative to dependency sv_std.std. 
# ** Warning: c:/altera/16.0/quartus/eda/sim_lib/arriav_atoms.vhd(2589): (vopt-3473) Component instance "/dsp_tb/UUT/c3/\fft_ii_0|asj_fft_si_se_so_bb_inst|twrom|gen_M4K:cos_1n|gen_auto:rom_component|old_ram_gen:old_ram_component|auto_generated|ram_block1a0\/inst : generic_m10k" is not bound.
# ** Error (suppressible): c:/altera/16.0/quartus/eda/sim_lib/arriav_atoms.vhd(2589): (vopt-1127) Entity altera_lnsim.generic_m10k was not selected for default binding because it is out of date relative to dependency sv_std.std. 
# ** Warning: c:/altera/16.0/quartus/eda/sim_lib/arriav_atoms.vhd(2589): (vopt-3473) Component instance "/dsp_tb/UUT/c3/\fft_ii_0|asj_fft_si_se_so_bb_inst|twrom|gen_M4K:cos_2n|gen_auto:rom_component|old_ram_gen:old_ram_component|auto_generated|ram_block1a0\/inst : generic_m10k" is not bound.
# ** Error (suppressible): c:/altera/16.0/quartus/eda/sim_lib/arriav_atoms.vhd(2589): (vopt-1127) Entity altera_lnsim.generic_m10k was not selected for default binding because it is out of date relative to dependency sv_std.std. 
# ** Warning: c:/altera/16.0/quartus/eda/sim_lib/arriav_atoms.vhd(2589): (vopt-3473) Component instance "/dsp_tb/UUT/c3/\fft_ii_0|asj_fft_si_se_so_bb_inst|auk_dsp_atlantic_sink_1|normal_fifo:fifo_eab_on:in_fifo|auto_generated|dpfifo|FIFOram|ram_block1a0\/inst : generic_m10k" is not bound.
# ** Error (suppressible): c:/altera/16.0/quartus/eda/sim_lib/arriav_atoms.vhd(2589): (vopt-1127) Entity altera_lnsim.generic_m10k was not selected for default binding because it is out of date relative to dependency sv_std.std. 
# ** Warning: c:/altera/16.0/quartus/eda/sim_lib/arriav_atoms.vhd(2589): (vopt-3473) Component instance "/dsp_tb/UUT/c3/\fft_ii_0|asj_fft_si_se_so_bb_inst|gen_M4K_input_stage:dat_A|gen_rams:0:dat_A|gen_M4K:ram_component|old_ram_gen:old

 

А такие?

# ** Error: (vish-17) Recursive reference in 'others' clause in "C:/modeltech64_10.5/win64/../modelsim.ini" to "C:/modeltech64_10.5/win64/../modelsim.ini".
# ** Error: (vish-16) The 'others' clause in "C:/modeltech64_10.5/win64/../modelsim.ini" is self-referencing.

 

Последнюю ошибку устранил, а что делать с первой?

На всякий случай modelsim.ini пристегнул.

modelsim.txt

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

# ** Error (suppressible): c:/altera/16.0/quartus/eda/sim_lib/arriav_atoms.vhd(2589): (vopt-1127) Entity altera_lnsim.generic_m10k was not selected for default binding because it is out of date relative to dependency sv_std.std.

# ** Warning: c:/altera/16.0/quartus/eda/sim_lib/arriav_atoms.vhd(2589): (vopt-3473) Component instance "/dsp_tb/UUT/c3/\fft_ii_0|asj_fft_si_se_so_bb_inst|twid_factors|twad_temp_rtl_0|auto_generat

ed|altsyncram4|ram_block5a0\/inst : generic_m10k" is not bound.

такое ощущение, что у вас сборная солянка библиотек от разных версий квартуса.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

такое ощущение, что у вас сборная солянка библиотек от разных версий квартуса.

Очень может быть что Вы правы. Установлены три квартуса - 13.1(для циклонов) , 16.0 и 16.1(для аррии). Как эту солянку разгрести?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Очень может быть что Вы правы. Установлены три квартуса - 13.1(для циклонов) , 16.0 и 16.1(для аррии). Как эту солянку разгрести?

это вам надо вместо одного C:/modeltech64_10.5/win64/../modelsim.ini использовать три разных.

отличаться они должны путями к библиотекам.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

это вам надо вместо одного C:/modeltech64_10.5/win64/../modelsim.ini использовать три разных.

отличаться они должны путями к библиотекам.

Спасибо за ответ! Но мне не нужно сейчас работать со всеми квартусами, достаточно только с v16.0. Я выложил файл modelsim.ini , в нём указаны нужные пути. А что ещё можно посмотреть?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо за ответ! Но мне не нужно сейчас работать со всеми квартусами, достаточно только с v16.0. Я выложил файл modelsim.ini , в нём указаны нужные пути. А что ещё можно посмотреть?

Думаю, надо удалить из modelsim.ini все квартусовские библиотеки, и запустить рекомпиляцию библиотек в чистую директорию.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Думаю, надо удалить из modelsim.ini все квартусовские библиотеки, и запустить рекомпиляцию библиотек в чистую директорию.

Спасибо! У меня в этом файле только пути к библиотекам которые я сам сгенерил в квартусе. Нужны ещё какие-то библиотеки? Вроде раньше на старых версиях всё работало замечательно только с этими.

altera = C:/Modelsim_lib_16.0/vhdl_libs/altera
lpm = C:/Modelsim_lib_16.0/vhdl_libs/lpm
sgate = C:/Modelsim_lib_16.0/vhdl_libs/sgate
altera_mf = C:/Modelsim_lib_16.0/vhdl_libs/altera_mf
altera_lnsim = C:/Modelsim_lib_16.0/vhdl_libs/altera_lnsim
arriav = C:/Modelsim_lib_16.0/vhdl_libs/arriav

 

Кстати, у меня в проекте используется блок FFT(ip core), как только я его сейчас исключил из проекта(отключил файл myFFT.vho) - все ошибки пропали. Файл кривой? Как сделать правильный?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кстати, у меня в проекте используется блок FFT(ip core), как только я его сейчас исключил из проекта(отключил файл myFFT.vho) - все ошибки пропали. Файл кривой? Как сделать правильный?

Возможно, myFFT.vho и библиотеки созданы в разных версиях, вот поэтому и предлагается на всякий случай сделать полную пересборку в одной версии Квартуса.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Возможно, myFFT.vho и библиотеки созданы в разных версиях, вот поэтому и предлагается на всякий случай сделать полную пересборку в одной версии Квартуса.

Нет,нет. Я сейчас работаю только с 16.0, остальные установлены и ждут своего часа,используются очень редко. И библиотеки я компилил именно из 16.0 это видно выше.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Потихоньку старые ошибки уходят но появляются новые. Сейчас ругается на vopt.

# vopt +acc dsp_tb -o dsp_tb_opt -debugdb
# Model Technology ModelSim SE-64 vopt 10.5 Compiler 2016.02 Feb 13 2016
# Start time: 14:12:56 on Apr 26,2017
# vopt -reportprogress 300 "+acc" dsp_tb -o dsp_tb_opt -debugdb 
# 
# Top level modules:
#     dsp_tb
# 
# Analyzing design...
# ** Error: (vopt-13130) Failed to find design unit dsp_tb.
#         Searched libraries:
#             work
# Optimization failed

Почему он может не находить dsp_tb? Компилируется нормально...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...