Перейти к содержанию
    

andrewkrot

Участник
  • Постов

    393
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о andrewkrot

  • Звание
    Местный
    Местный

Информация

  • Город
    Array

Посетители профиля

3 223 просмотра профиля
  1. А вы создайте проект в Xilinx ISE, нарисуйте в нем свою картину, выберите какую-нибудь FPGA (в случае с ISE до Spartan-6 включительно) и в результате трансляции вам все по полочкам будет разрисовано - сколько чего там задействовано, и в процентах в том числе. Судя по Вашей картине, достаточно будет и CPLD какой-нибудь.
  2. Я очень часто заказывал, даже перед самим закрытием. Сейчас аккаунта нет. Возможно это связано с тем, что почта была на rambler а не корпоративная. Хотя, кто их знает(
  3. Вам реально нужно начать с изучения основ цифровой схемотехники. Учебников есть очень много. А если по теме, то объедините нужные выходы по И (ИЛИ) в зависимости от того, какого результата хотите добиться.
  4. У меня был аккаунт, но сейчас доступа нет. Говорит, что нет такого аккаунта(
  5. В упомянутых Вами микросхемах триггера Шмидта по ходу нет. Подразумевается наличие гистерезиса, а в описаниях об этом молчок)
  6. У Вас микроконтроллер? Что мешает софтом защиту от дребезга соорудить? Или уж если мы "Цифровые технологии" применяем, то приблизительно как в файле вложенном. Никаких задавливаний фронтов RC цепочками и иных технологий. Корпусов, правда, прибавится) lpf xilinx.pdf
  7. Немного не так понимаете. Вот первой что нашел - http://we.easyelectronics.ru/plis/vhdl-s-nulya.html . Таких статей на просторах есть +100500. И про VHDL, и про Verilog. Вот там то схемы теряют смысл, хотя можно конечно и сырую схему описАть. А вы то сами представляете, сколько логических элементов будет содержать Ваш процессор, и как Вы его будете разрисовывать прямоугольничками с шариками? Ну так ТС за ценой не постоит. https://aftershock.news/?q=node/1116420&full вот здесь кое-что есть. Самому читать лень)
  8. Тут https://vzpp-s.ru/upload/iblock/435/4354fae4ec9d2e7803550d0e269739e3.pdf И если будет большое желание, они Вам скажут, аналоги каких FPGA сие творение, и какой софт и какой фирмы нужен для проектирования)
  9. Для начала Вам нужно начать с изучения языков. Verilog или VHDL. Если опыта работы с FPGA нет, то по видимому и представления о Verilog тоже нет. А без этого у Вас ничего не получится, не будете же Вы свой процессор рисовать в редакторе схем. А вот для начала изучения языка, плата с чипом и не нужна вовсе. Можно приобрести за 500 RUR что-нибудь для "светиками помигать" можно. Наверное большинство здесь с этого начинало. Вот когда все HDL описание процессора (или каждого их его блоков) у вас будет, нужно будет написать для него кучу тестов на том же Verilog и много времени потратить на проверку соответствия того что Вы от него хотите, тому что получилось). К этому моменту уже должно прийти просветление в голове по поводу необходимых ресурсов FPGA для реализации в железе. И т.д. В сети много есть про FPGA design flow. Ну и если так санкций боитесь, то есть и "наши" FPGA если что) и софт типа наш, лицензионный))) Но для этого нужно будет познакомиться с Alter-ой. IMHO
  10. Хотя Verilog и позволяет описывать готовые схемы, но все же нужно учиться описывать поведение схемы.
  11. искать "аккумуляторная батарейка с usb зарядкой" на Яндексе
  12. Запаяйте поближе к ноге сброса для эксперимента какой-нибудь супервизор подходящий по параметрам напряжения, например ADM809. Будет с чем сравнивать.
×
×
  • Создать...