Перейти к содержанию
    

Glement

Участник
  • Постов

    7
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный
  1. пока что все чему нас научили это нарисовать схему. создать схематический символ и так далее потом присоединить к конекторам и все в данном задании нам дан декодер 7 сегментовый уже готовый. все что там надо было поменять это формулы для каждого из 7 сегментов . ну и к нему присоединить умножение двух двухбайтовых чисел а вот выводу на разные экраны и замедление часов платы не учили. там написано смотите в мануале что да как. но весь мануал сводится к описанию дисплея что никоим образом не помогает написать vhdl файл который будет выводить результат умножения на два дисплея
  2. я понимаю как это будет выглядеть я не понимаю как это сделать
  3. значит мне нужно написать таймер который будет переключать сигналы ? то есть например 8 мс горит 1 дисплей 8 мс другой теперь осталась последняя проблема где это надо написать и как это написать? так как я вижу часы. есть файл с сигналами для варианта с 1 дисплеем. а вот где писать код для переключения дисплеев так как я понял что у меня будет в файле 1 функция которая переключает две другие в двух других условия для рисования числа но я без понятия как это все реализовать
  4. вот я скинул вам как я запинил и свой проект что мне написать что бы плата поняла на какую из 4 цифер я хочу вывести сигнал данной функции ? вот нашел часы library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_unsigned.all; entity hodiny is port( Clk_50MHz : in std_logic; Reset : in std_logic; Mux_sel : out std_logic ); end hodiny; architecture Behavioral of hodiny is signal tmp : std_logic_vector(17 downto 0); begin process (Clk_50MHz, Reset) begin if (Reset='1') then tmp <= (others => '0'); elsif rising_edge(Clk_50MHz) then tmp <= tmp + 1; end if; end process; Mux_sel <= tmp(17); end Behavioral; ну вот скажите пожалуйста у меня есть допустим схема которая в некоторых случаях должна выводить результат на 2 дисплея что мне написать в распиновке и если нужно где либо еще что бы она выводила на 2 дисплея ?
  5. # PlanAhead Generated physical constraints NET "AN" LOC = E2; NET "BN" LOC = N3; NET "CN" LOC = G3; NET "DN" LOC = F3; NET "CA" LOC = L14; NET "CB" LOC = H12; NET "CC" LOC = N14; NET "CD" LOC = N11; NET "CE" LOC = P12; NET "CF" LOC = L13; NET "CG" LOC = M12; NET "DP" LOC = N13;
  6. 11*11 в двоичном ответ в шестнадцетиричном коде можешь скинуть пример ucf файла? так как выводы я вывожу на ca cb cc cd и так далее. но как сменить цифру на какую я вывожу я без понятия
  7. У меня есть .sch которому вводишь 2 2байтовых числа и он выводит ответ на 7 сегментовый дисплей в 16 коде. то есть при 11*11 он выведет 9(не затрагивая остальные) но я например хочу сделать что бы он перемножал 2 байтовое с 3 байтовым и тогда нужно использовать два дисплея (всего их там 4) 8888 Так вот вопрос как мне сказать что вывод этой коробочки в схеме я хочу на один дисплей а другой коробочки на другой дисплей ? ISE WebPack Spartan3e Bypass2 file.zip
×
×
  • Создать...