Перейти к содержанию
    

Замена реализации log2 на Verilog

Много раз звучало сообщение от меня и других, как реализовать функцию log2, зная значение модуля счета, глубины памяти и т.д. на Verilog.

Во-первых, оказалось что в Verilog 2001 такие вещи предусматриваются, однако не все синтезаторы такое поддерживают, а именно Constant Function.

Нашел в IEEE Std 1364-2001 (10.3.5 Use of constant functions), там есть пример.

Немного полегче реализация той же функции:

function integer f_clogb2;

//Входы

input [31:0] value; //Значение глубины шины

//Цикл функции

for (f_clogb2= 0; value > 0; f_clogb2= f_clogb2+ 1)

value = value >> 1;

endfunction //MaZZi'S ZoNE

Теперь самое интересное, какие синтезаторы поддерживают Constant Function? Из двух рассмотреных Symplify 7.6.1, Xilinx XST ISE 6.2, заработало только на Symplify 7.6.1, т.ч. юзайте :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...