Перейти к содержанию
    

Flip-fl0p

Есть такая конструкция xLABEL : entity work.entity_name в месте использования компонента.

Она должна избавить от создания паразитного package, и необходимость произведения изменений в нем.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Для того, чтобы в VHDL в одном компоненте применить другой компонент, ранее написанный, необходимо применяемый компонент объявить в архитектурном теле. Так-же в VHDL допускается не объявлять компонент в архитектурном теле, а объявить компонент в файле пакета (PACKAGE):
Сто лет как ничего этого не нужно. Осваивайте direct component instantiation.

 

Собсно, постом выше уже написали.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Flip-fl0p

Есть такая конструкция xLABEL : entity work.entity_name в месте использования компонента.

Она должна избавить от создания паразитного package, и необходимость произведения изменений в нем.

 

 

Сто лет как ничего этого не нужно. Осваивайте direct component instantiation.

 

Собсно, постом выше уже написали.

Круто. Спасибо ! Жаль что такие вещи в книгах не пишут, по которым я учусь... Это же сколько я времени убил на правку package... А счастье было так близко. :smile3046:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Недавно перешел с Notepad++ на VisualStudio code + плагин SystemVerilog. Недавно узнал про sublime и как по мне он лучше visual studio code. Также собираюсь использовать systemverilog assistance из HDL Designer, он содержит большой функционал по работе с UVM и готовые шаблоны компонентов UVM.

Изменено пользователем Fitc

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Всем здравствуйте.

А подскажите пожалуйста, как можно в Notepad++ простым способом проверку синтакса VHDL прикрутить?

Есть мысли реализации с использованием батника и asim от Aldec.

Но может есть способ попроще?

Интересуют просто визуализация ошибок синтаксиса конкретного VHDL.

Спасибо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 Tpeck:

Про Notepad++, к сожалению, ничего сказать не могу. Но вот отличный плагин Veditor для Eclipse парсит VHDL код, и сигнализирует об ошибках синтаксиса. Не всегда плагин работает безупречно. Но на мой взгляд вполне удачное бесплатное решение.

 

2 Flip-fl0p:

Прошу прощения за некропостинг, но чтобы избавиться от

Вот тут и появляется самое большое неудобство, поскольку для изменения одного компонента мне потребуется:

1. Изменить файл описания модуля.

2. Изменить файл пакета, где описываются порты изменяемого модуля.

3. В файле где применяется этот компонент добавить\изменить выходные\выходные порты.

 

удобно использовать records types. Подробнее про его использование написано у Jiri Gaisler в статье A structured VHDL design method в разделе 5.5 Using record types.

 

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Недавно перешел с Notepad++ на VisualStudio code + плагин SystemVerilog. Недавно узнал про sublime и как по мне он лучше visual studio code. Также собираюсь использовать systemverilog assistance из HDL Designer, он содержит большой функционал по работе с UVM и готовые шаблоны компонентов UVM.

 

Как на это красноглазое поделие sublime поставить какой-нибудь верилог-плагин?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

 

Как на это красноглазое поделие sublime какой-нибудь верилог-плагин?

 

Sublime + SystemVerilog плагин. Очень даже прилично работает, теперь у меня это основной рабочий редактор.

 

Успехов! Rob.

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Как на это красноглазое поделие sublime поставить какой-нибудь верилог-плагин?

Если не установлен "Package control", то установить через "Tools"-> "Install package control ...". Далее нажать "Preferences" -> "Package control", ввести install Package, нажать enter, ввести SystemVerilog, нажать enter

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если не установлен "Package control", то установить через "Tools"-> "Install package control ...". Далее нажать "Preferences" -> "Package control", ввести install Package, нажать enter, ввести SystemVerilog, нажать enter

 

Плагин установился. Буду пробовать. Спасибо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В sublime (хоть и пользуюсь им давно,нашел ее недавно) есть интересная фича - файл проекта. Добавляете в список директорий проекта свой проект, и sublime будет искать определения сигналов, параметров и пр. во всех файлах проекта. Получается что-то похожее на привычное IDE.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

 

В sublime (хоть и пользуюсь им давно,нашел ее недавно) есть интересная фича - файл проекта. Добавляете в список директорий проекта свой проект, и sublime будет искать определения сигналов, параметров и пр. во всех файлах проекта. Получается что-то похожее на привычное IDE.

За одно тут же можно настроить и project build-system для компиляции. например

...
    "build_systems":
    [
        {
            "encoding": "CP1251",
            "file_regex": "(?:^.*? )([A-Z]:.*?)\\((\\d+)\\)(:)(.*)",
            "name": "modelsim_sv",
            "selector": "source.systemverilog",
            "shell_cmd": "%MODEL_TECH%\\vlog.exe -modelsimini .\\ -f compile_sv_opt.f +incdir+$file_path $file",
            "working_dir": "$project_path\\..\\sim"
        },
        {
            "encoding": "CP1251",
            "file_regex": "(?:^.*? )([A-Z]:.*?)\\((\\d+)\\)(:)(.*)",
            "name": "modelsim_vhd",
            "selector": "source.VHDL",
            "shell_cmd": "$project_path\\..\\sim\\vcom_cmd.bat $file",
            "working_dir": "$project_path\\..\\sim"
        },
        {
            "encoding": "CP1251",
            "file_regex": "(?:^.*? )([A-Z]:.*?)\\((\\d+)\\)(:)(.*)",
            "name": "modelsim_dpi_cpp",
            "selector": "source.c++",
            "shell_cmd": "%MODEL_TECH%\\vlog.exe -sv -outf vlog_f.txt -ccflags \"@c_opt.f\" $file",
            "working_dir": "$project_path\\..\\sim"
        }
    ], 
...

А в фалах *.f прописать нужные опции для компиляции.

 

Успехов! Rob.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте все!

Научите, пожалуйста, по шагам, как включить в Sublime Text подсветку синтаксиса VHDL?

Я установил редактор и даже нашёл вот это: https://github.com/yangsu/sublime-vhdl . Пользоваться github не умею.

А что дальше делать? Только, пожалуйста, максимально просто: что нажать, что ввести, без специфических терминов Sublime и github.

Заранее признателен.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Как по мне самый простой способ - это использовать package control. Это пакет sublime позволяющий довольно просто управлять установкой/удалением других пакетов

вот здесь https://packagecontrol.io/installation руководство по установке. Просто вводите указанный код в консоль subliime, а дальше preferences->package control->install и указываете нужный Вам пакет. Все:)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Как по мне самый простой способ - это использовать package control. Это пакет sublime позволяющий довольно просто управлять установкой/удалением других пакетов

вот здесь https://packagecontrol.io/installation руководство по установке. Просто вводите указанный код в консоль subliime, а дальше preferences->package control->install и указываете нужный Вам пакет. Все:)

Большое спасибо!

Забавно, надо было переоткрыть файл заново, чтобы увидеть раскраску.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...