Перейти к содержанию
    

a_inkin

Новичок
  • Постов

    1
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный
  1. Здравствуйте! Посоветовали обратиться на ваш форум за помощью. Требуется выполнить небольшой проект на VHDL, а именно реализовать два метода перемножения чисел: "умножение с младших разрядов множителя и сдвигом суммы частичных произведений вправо" и "умножение со старших разрядов множителя и сдвигом множимого вправо". Оплата по договоренности
×
×
  • Создать...