Перейти к содержанию
    

MAX V и SignalTap II

В хэндбуке на макс5 сказано, что можно отлаживаться.

Но в SignalTap II сказано, что макс2 и макс5 нельзя.

 

Так, вот, каким инструментом отлаживать MAX5 ? Надо диаграмки посмотреть.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

СигналТар использует внутреннюю память ПЛИС для хранения выборок сигналов. Если такой памяти нет, то и использовать СигналТап нельзя.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В хэндбуке на макс5 сказано, что можно отлаживаться.

Но в SignalTap II сказано, что макс2 и макс5 нельзя.

 

Так, вот, каким инструментом отлаживать MAX5 ? Надо диаграмки посмотреть.

 

Только

In-System Sources and Probes Editor

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

СигналТар использует внутреннюю память ПЛИС для хранения выборок сигналов. Если такой памяти нет, то и использовать СигналТап нельзя.

 

каким инструментом отлаживать MAX5 ?

 

Какой метод отладки подразумевают альтеровцы, говоря, что в Максе5 есть такие механизмы?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

каким инструментом отлаживать MAX5 ?

Какой метод отладки подразумевают альтеровцы, говоря, что в Максе5 есть такие механизмы?

Самое большее там 2210 LE, относительно небольшая ПЛИС. Отлаживать такое в симуляторе, серьезно.

 

Если хочется отлаживаться в процессе работы схемы, то можно соорудить свой аналог сигнал-тапа - просто буфер, который копит состояния входов по 4/8/12/более бит, затем высылает в UART по байтам, когда всё заполнено. Я такой инструмент буквально недавно соорудил для себя, могу выслать вечером чисто для примера, чтобы переделать под себя. Если интересует.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Самое большее там 2210 LE, относительно небольшая ПЛИС. Отлаживать такое в симуляторе, серьезно.

 

Если хочется отлаживаться в процессе работы схемы, то можно соорудить свой аналог сигнал-тапа - просто буфер, который копит состояния входов по 4/8/12/более бит, затем высылает в UART по байтам, когда всё заполнено. Я такой инструмент буквально недавно соорудил для себя, могу выслать вечером чисто для примера, чтобы переделать под себя. Если интересует.

 

Мне в плис летит хрень с компа. Хочу посмотреть в каком виде. Припаивать логический анализатор - проще застрелиться.

Средства у меня есть для отладки. Я надеялся, что можно будет как на циклоне готовое посмотреть.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...