Перейти к содержанию
    

Mozg

Участник
  • Постов

    14
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о Mozg

  • День рождения 27.04.1982

Контакты

  • Сайт
    Array
  • ICQ
    Array

Информация

  • Город
    Array
  1. Ищу работу в Харькове. Имею боевой опыт работы с продукцией фирмы Altera (Max, Acex, Cyclone), практику работы в QuartusII и Symplify. Хотелось бы и дальше заниматься обработкой потоковых данных. Резюме прилагаю, контактная информация в нем. GritsenkoSergey_resume_.doc
  2. Все сводится к тому, что так и нужно сделать спасибо!
  3. ну вот я так и хотел, только не на большей скорости суммировать (это ж какую мне тактовую на сумматор надо подать, чтобы проссумировать 2000 входов, считал?) Это гон, особенно с выходом надо по другому описать, Я и сам это понимаю, потому сюда и написал :) А вопрос заключался в том, как это "по другому" сделать :)
  4. Поясню: Данные обновляются на каждом фронте 2.048 МГц клока. А мне нужно оценить амплитуду ошибки (пересчитать эти самые единички) и сравнить с порогом. А задача такая: нужно протестировать канал связи nx64 кбит/с. По теории, для этого заряжают ПСП (2^11)-1, пропускают через канал и считают корреляционную функцию. И еще обычно этим занимаются специализированные микросхемы (у них эта функция как бонус), но та микрушка ,которую поставили мы....в общем работает не так, как хотелось бы. Битовые ошибки легко отлавливать (хоть и получается небольшая погрешность) , а вот со слипами совсем плохо. Пробовал делать "не по теории", а "по инженерному", но что-то получается громоздко и слишком запутано....а времени сидеть и педантично симулить несколько дней эту фигню нету. Вот и решил посчитать корреляционную функцию :)
  5. Хм... квартус все эти "after" не узнает... В сущности вме нужен один сумматор с бааааальшим колличеством однобитных входов. Вроде бы одна комбинаторика, хоть и много. Но данные появляются с частотой 2 МГц, так что некоторая задержка на xor-ах не смертельна. Просто не знаю как написать так, чтобы компилятор сотворил такой сумматор :(
  6. Конечно представляю :) весь модуль подсчета с оценкой должен занять не более 2500 ячеек. На такую жертву могу пойти. А с памятью действительно не получается из-за толстой выходной шины, а быстродействия перебрать по кусочкам тоже не хватает и при этом все так сильно усложняется... в общем источников глюков и так хватает.
  7. Есть шина compared_sig : std_logic_vector(1 to 2047). Нужно посчитать, сколько '1' в этом векторе (ну и присвоить это сигналу amplitude_sig: integer range 0 to 2047). Вроде бы просто, но нужно это сделать за один такт. Вот я написал, но что-то симуляция этого дела уходит "в себя" :( MEASUREMENT : PROCESS (nRESET, compared_sig) begin IF nRESET = '0' THEN amplitude_sig <= 0; ELSE sum: for i in 0 to 2048 loop if i = 0 then amplitude_sig <= 0; elsif (i < 2048) then amplitude_sig <= amplitude_sig + to_integer(compared_sig(i)); else exit sum; end if; end loop sum; END IF; END PROCESS MEASUREMENT; Какие есть идеи? Может я что-то не так нарулил? И как тогда надо?
  8. Да я им и пользуюсь, когда правлю уже нарисованные схемы... Если честно, то я просто другого способа и не знал :smile3046: :)
  9. Разве не те же lpm_ ты конфигурируешь в MegaWizard Plug-In Manager?
  10. Гмм. А я не создавал компонент. Я создал проект, отладил и потом через опцию в квартусе File -> Create/Update -> Create Symbol files for curent file получил свой *.bsf. Потом вместе с *.vhd подключил как библиотеку к главному проекту (который в схематике). Ну а код приатачил DPRAM.zip
  11. Работаю в Qurtus 4.1 без всяких там сопутсвующих САПРов вроде Aldec .... слишком правильная у нас контора, а покупать лицензии тоже не хотят :( . Решил перейти со схемного проектирования на VHDL, но при этом «верхний» проект мне удобнее созерцать в схематике. Возникла проблема – при использовании изменяемых параметров ... generic (USE_RESET : boolean := false; ... DEFAULT_OUT : std_logic := '1'); ... любых типов, кроме integer, во время компиляции проекта возникает ошибка такого содержания «Error: VHDL type mismatch error at RAM_controller.vhd(33): boolean type does not match string literal ну и про каждый параметр так». При этом компиляция отдельного модуля (то есть, этот модуль ведется как отдельный проект) проходит успешно и все правильно работает. Я некорректно передаю параметр в верхний файл проекта (и тогда как правильно передать?) или это особенность схематического ввода в Quartuse ?
  12. Какая вам нужна симуляция ? если только тайминг, то ИМХО больше ОЗУ и проц помощнее, или под линух переходить. если функционал то можно в квартусе не делать роутинг, это будет быстрее. <{POST_SNAPBACK}> Вопрос: на сколько Quartus под линукс работает быстрее,чем под виндой? Просто тут как бы встает вопрос - лицензирование квартуса. Хотя под линуксом спрятать прогу проще :)
  13. Мда. Ответ на него приходит, когда начинаешь чувствовать, как твоя схема должна работать, т.е. представлять схему в простейших элементах (тригер, счетчик, компаратор....). А вообще ничего сложного - если у тебя тактирование постоянное (а выбираешь нужные фронты сигналами enable или условными конструкциями), то конечно лучше поставь тактируемый сброс. Почему тактируемый сброс лучше? Ну хотя бы потому, что обычно на цифровой плате ужасный дребезг стоит, а на многослойности платы часто экономят :( (имеются ввиду слои заливки gnd или vcc). С другой стороны нужно не перемудрить - что бы не возникло такой ситуации, что ресет подал, а клока нет и сброс не произошел (ну это может быть только в тех случаях, если тактирование не постоянное, чего я бы не рекомендовал).
  14. Да все просто. Поскольку ты говоришь редко запускал Quartus - напишу подробно. Для начала подключи в проекте 2 файла, как гоавные: *.vwf и *.tdf (*.bdf). Если тебе нужно ввести(вывести) сигнал - делаешь в главном *.tdf (*.bdf) пин. Все пины в главном *.tdf (*.bdf) можно увидеть в *.vwf. Если вступает ограничение со стороны корпуса (закончились блин пины), то на выполняй на этапе компиляции fiting. Достаточно сделать Start analisiы and Sintesing, а потом Start Timing analizer (извиняюсь если написал несколько криво). А потом приступаешь к симуляции. Конечно так не учитывается реальная разводка, но тем не менее при отладке отдельных модулей вполне достаточно.
×
×
  • Создать...