Перейти к содержанию
    

Начал переезд на Verilog

Здравствуйте.

До нынешнего момента жил на VHDL.

Решил переехать на Verilog.

 

Для хорошего точка вперед мне нужен следующий пример.

Модуль RModule.v, который описывает обычный триггер.

Тест бенч TB.v, который использует модуль RModule.v для моделирования.

Прошу вас поделиться примерами тест бенчей.

 

Из опыта работы c VHDL могу сказать, что в реальных проекта используется 20% синтаксиса.

Остальное видимо для академиков придумано.

Подозреваю, что c Verilog такая же история.

Описание стандарта и книжек по Verilog я конечно накачал, но буду рад вашим советам и ссылкам, которые помогут быстрее прийти к тем самым нужным 20%.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте.

До нынешнего момента жил на VHDL.

Решил переехать на Verilog.

 

Для хорошего точка вперед мне нужен следующий пример.

Модуль RModule.v, который описывает обычный триггер.

Тест бенч TB.v, который использует модуль RModule.v для моделирования.

Прошу вас поделиться примерами тест бенчей.

 

Из опыта работы c VHDL могу сказать, что в реальных проекта используется 20% синтаксиса.

Остальное видимо для академиков придумано.

Подозреваю, что c Verilog такая же история.

Описание стандарта и книжек по Verilog я конечно накачал, но буду рад вашим советам и ссылкам, которые помогут быстрее прийти к тем самым нужным 20%.

Хотите поговорим по скайпу?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В разделе форума по ПЛИС найдете массу отличных вопросов-ответов-примеров.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Найдите книгу в интернетах Харрис и Харрис - Digital design and computer architecture - есть перевод книги на русский язык.

Там есть 4 глава где на примерах цифровых схем есть синтаксис на верилоге и вхдл параллельно. Это вам поможет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Douglas Smith. HDL Chip Design. Это таксть, библия. Во всей книге параллельные тексты.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Простейший тестбенч будет краток, например:

`timescale 1ns/1ns

module test();

reg         clk;
reg         in;

initial
begin
    clk = 0;
end

always
#10 clk = ~clk;

wire out;

RModule RModule_uut(
    .clk    (clk),
    .in     (in),

    .out    (out)
);

initial
begin
$dumpfile("out.vcd");
$dumpvars(-1, test);

@(posedge clk) in = 1'b0;
@(posedge clk) in = 1'b1;
@(posedge clk) in = 1'b0;

$finish();
end
endmodule

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Можно сходить по ссылке в моей подписи - там хороший тутор на английском языке. Всё по полочкам, с яркими картинками. Я сам когда-то по нему въезжал в язык, и решил в подпись добавить.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Можно сходить по ссылке в моей подписи - там хороший тутор на английском языке. Всё по полочкам, с яркими картинками. Я сам когда-то по нему въезжал в язык, и решил в подпись добавить.

Радостная новость (для меня и надеюсь не только для меня). Снова заработал сайт http://www.testbench.in - просто суперский сайт по Verilog SystemVerilog с упором на тестирование и верификацию. Там автор темы найдет абсолютно все ответы.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...