Перейти к содержанию
    

симуляция [1:0] триггера modelsim

симуляция двухразрядного триггера в modelsim показывает, что один выход находится в высокоимпедансном состоянии high-z (голубая линия),

хотя я на оба выхода подаю одинаковые сигналы

module dff(clk, din, dout); 
  input clk; 
  input [1:0] din; 
  output [1:0] dout; 
reg dout; 
always @ (posedge clk) 
  begin 
   dout <= din; 
end 
endmodule

тестбенч

module top; 
   reg clk; 
   reg [1:0] in_inf; 
   wire [1:0] out_inf;
dff D1 (clk, in_inf, out_inf); 

initial // Clock generator
  begin
    clk = 0;
    forever #10 clk = !clk;
  end

initial //in_inf[0]    
  begin
    in_inf[0] = 0;
    #28 in_inf[0] = 1;
    #5 in_inf[0] = 0;
  end
initial    //in_inf[1]    
  begin
    in_inf[1] = 0;
    #48 in_inf[1] = 1;
    #5 in_inf[1] = 0;
  end
endmodule

 

post-102435-1526545058_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

симуляция двухразрядного триггера в modelsim показывает, что один выход находится в высокоимпедансном состоянии high-z (голубая линия),

хотя я на оба выхода подаю одинаковые сигналы

module dff(clk, din, dout); 
  input clk; 
  input [1:0] din; 
  output [1:0] dout; 
reg dout; 
always @ (posedge clk) 
  begin 
   dout <= din; 
end 
endmodule

 

Раньше в занимательных журналах печатали картинки "найди 10 отличий"...

Ответьте на вопрос: "что такое reg dout"??? Чем он отличается от других "участников игры"? Только внимательно смотрите!!!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Иосиф Григорьевич, спасибо (внимательно посмотрел)

Отлично! Успехов Вам в этом нелегком деле...

Ну а мы, все тут присутствующие, всегда рады помочь!

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...