Перейти к содержанию
    

melnikov0690

Новичок
  • Постов

    3
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о melnikov0690

  • День рождения 06.06.1990

Информация

  • Город
    Array

Посетители профиля

293 просмотра профиля
  1. Справился со своей проблемой. Оказалось, что .bitstream от КТЦ-Электроника есть не что иное как .rbf файл. Можно поменять расширение и воспользоваться утилитой, представленной на форуме Миландра (http://forum.milandr.ru/viewtopic.php?f=5&t=144&p=22005#p22005 http://forum.milandr.ru/download/file.php?id=72). Далее прошиваем pof в ПЗУ с помощью Quartus programmer и все работает!
  2. Подскажите, кто использовал ПЗУ от миландра 5576РС1У вместе с ПЛИС 5578ТС024. Каким образом загружали конфигурацию в ПЗУ? На выходе ПО от КТЦ электроника есть jam файл с постфиксом _rom, который сделан специально под ПЗУ 5578PC015. Я в нем поменял IDCODE_REF на $0100A0DD для корректной проверки ID, но команда verify не выполняется. ПО от Quartus корректно считывает и прошивает эту память, но у меня нет соответствующего pof файла (На выходе от КТЦ Электроника только два jam для прошивки FPGA и ПЗУ, и бинарник).
  3. Здравствуйте. Как раз занимаюсь анализом энергоэффективности. Работаю в среде Active HDL 8.3 с плисами Xilinx. Вопрос, можно ли в Aldec генерировать saif файлы, а то vcd получаются слишком большие уж? Если нет, то подскажите как их генерировать в Isim. Буду очень благодарен за ответ.
×
×
  • Создать...