Перейти к содержанию
    

Криптование исходников

Как производители свои корки криптуют? В смысле, для этого есть какой-то доступный инструментарий? То есть, к примеру, имеется набор vhdl-исходников, надо их скрыть от посторонних глаз и дать возможность использовать в Quartus`е как IP-CORE. Где про это почитать можно?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Нигде. Если бы можно было прочитать ПРО ЭТО, все бы уже знали ... и пользовались своим знанием.

Ну а если по существу, то проблема заключается не в зашифровывании содержимого, а в доставании самих корок. Большинство производителей IP боятся взлома и либо не предоставляют Evaluation Core частным лицам/мелким фирмам, либо высылают обычное демо (что-то вроде тестбенча).

Насчет использования в Quartus, надо как минимум иметь ЛИЦЕНЗИОННЫЙ вариант этого софта, чтобы сабж мог вычислить ключ декодирования из строки FEATURE или заключить соответствующее соглашение с Altera Inc. на предмет встроенной поддержки дешифрации твоей корки в этом пакете (последнее возможно только для крупных фирм, занимающихся IP).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...