Перейти к содержанию
    

go2winner

Участник
  • Постов

    77
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о go2winner

  • Звание
    Частый гость
    Частый гость

Посетители профиля

1 807 просмотров профиля
  1. Доброго времени суток, Прошу Вас скинуть книги/статьи по принципу алгоритма Витерби с мягким решением. Не могу ни как найти. Если есть хорошие статьи с способом реализации тоже буду признателен. Алгоритм Витерби реализовал с жестким решением. Считает конечно не шустро. Поэтому если есть примеры буду им рад, но это второстепенно
  2. Спасибо. Учел. Скоро платы приедут начну тогда пробовать работать с pci
  3. Немного не то. Я имею в виду следующее. Пример. Пусть схема уже работает. Через некоторое время по опр. условию мне надо привести счетчик в начальное состояние. Я могу сигнал это сделать двумя путями. Через сигнал ресет, общий ресет и ресет счетчика от управления через логику пропустить и подать на сброс счетчика. Могу добавить сигнал Load. И путем его использования приводить счетчик в исх. состояние. Тоже самое с крупными модулями ( к примеру приемник и т.п.). Вопрос такой. Является ли корректно на вход ресета подавать сигал пропущенный через логику.
  4. Как лучше дизайн строить. Есть ситуации когда модуль нужно приводить в первоначальное состояние ( иногда счетчики, иногда модули ). Считается ли правильным решением пустить reset и управляющий сигнал через "или" и подать выходной сигнал на вход сброса модуля / счетчика/ ect.? Или есть более красивые варианты?
  5. Про длину понятно, это уточнял в спец. все понятно. А длина трассы общая учитывается КОННЕКТОР->БУФЕР->ПЛИС и она 2.5? С адресными так же? Или все ограничения до первой микросхемы?(буфера)? Я пока пытаюсь подогнать под то, чтобы вся трасса ( КОННЕКТОР->БУФЕР->ПЛИС ) попадала под ограничения..
  6. Доброго времени суток, имеется вопрос. У PCI есть ограничения на длину трассы клоковой и сигналов. Вопрос, как ведется отсчет длины трассы? От верхней кромки коннектора? У меня стоят буферы(QS3861 подобные) между коннектором и ПЛИС. Длина PCI на сигналы имеется в виду до от коннектора до ПЛИС или от коннектора до буфера? 2. Ограничения на количество слоев ПП есть? Очень часто вижу упоминания в 4 слоя, это связано с удобствами разводки или есть ограничения?
  7. Доброго времени суток, Есть задача, необходимо обмениваться с пк с целью передачи управляющей информации на устройство сопряжения и получения ответной посылки. И управляющие посылки и ответные по объему не большие и содержат служебную информацию. Ядром устройства сопряжения FPGA от xilinx. Конструктивно удобно выполнить данное устройство, как плату расширения, которая помещается в пк. Предварительно решили для этого использовать PCI слот. Сей час хочется заложить возможность для того, чтобы в будущем обмен вести по этой шине, а уже потом по мере изучения переключиться на нее. Отсюда вопросы, что необходимо и достаточно для того чтобы проводить обмен пк-плата сопряжения по PCI. 1) Схемотехника. Т.к. нужно заложить в плату, т.е. сей час схематехника кретина. Что и себя представляет на данном уровне абстрации? Я так понимаю достаточно самого плис с коркой pci? или отдельно ставить phy? Есть ли примеры проектов? ЧТо необходимо учесть в схемном решении, чтобы не пришлось глобально переделывать? Можно в приципе ссылки на статьи и литературу кидать.. 2) Хватит ли спартана 3 с 400к вентилями ? 2) Обмен на позльзовательском уровне. Как я смогу получать и передовать данные плате? Через порты ввода/вывода пк? или ? В основном интересует сей час схемотехника плис - pci. Т.к. с самим обменом pci буду работать по мере его изучения.
  8. Доброго времени суток, я пока использую ISE, т.к. под рукой плата есть с спартаном 6. Но в сторону вивадо тоже смотрю, уже начинаю с ним знакомиться. Для тестирования буду использовать modelSim. Ну как синтезатор - саму среду ISE/ViVado. Вчера ночью я исправил свои ошибки. Полазив по форуму нашел схожую ошибку/ошибки: 1) Иногда вычитаю/ прибавляю и т.п. целые числа, без указания размерности, что интерпретируется как целое число. Синтезатор приводит правую часть к 32 разрядам, потом грозиться обрезать их под левую часть 2) При написании параметрических модулей опять параметр использую в правой части без указания размерности, что приводит к предупрежденям, к примеру: parameter devider = 4; output reg [ $clog2( devider * 3/2 ) - 1 : 0 ] loadValue; always@(*) begin // какое-то описание loadValue = devider*3/2; end Тут я правильно понимаю, что или разрядность всего выражения правой части необходимо указать явно или его операндов? Без указания возникают предупреждения. loadValue = devider*(3'd3)/(2'd2); 3) Глупые ошибки из серии в одном месте сделал ресет по другому фронту. Кстати, катируется ли локально на некоторых модулях управлять ресетом? К примеру счетчик. Хочу чтобы он был сброшен в определенные моменты. Не страшно ли управлять ресетом, пропустить через логику? Я понял про доступ к переменной/сети в тестбенчах, которые находяться в нижних уровнях иерархии. Но как получить доступ в синтезируемой схеме. Вдруг мне понадобиться использовать сигнал, который на нижнем уровне и вывести его на котрольную точку, для синхронизации или т.п. мало ли. Тут только переписывать все интерфейсы до высокого? Но вот с начальным значением в среде попробую уже сам. В доках синтезатора указано, что или при объявлении или через блок init. Просто я схематехнически не представляю, во что выливается начальная установка? Reset я использую однозначно. Пока что синхронный, т.к. ассинхронный следует более внимательней вести. А так пойду на новую иттерацию обучения. Скачал еще пару книжек и примеров.
  9. Перешел на verilog. Прочитав пару книг, цикл статей Иосифа К. и т.п., решил написать для проверки UART. Во- первых, постоянно warning`и выдаются, то разрядность операции больше и ее значение будет обрезано до разрядности левой части, то другие мелочи..раздражает. На VHDL все warning мне были понятны и отностительно быстро устранялись, так чтобы проект компилировался с минимумом или без них. А тут на простых вещах выдается. То сигналы с целью оптимизации вырезаны. Описание проекта и предупреждения синтезатора выложу завтра. Сей час хочу обсудить общие вопросы. 1) Как инициализировать все биты единицами или нулями? 2) Где можно найти парамтрические описания или примеры устройств с хорошим стилем написания? ( вот сей час опенкорс открыл) 3) Жуткие проблемы с тестбенчами, пишу деревянно. Буду очень признателен за ссылку на литературу/паттерны/статьи с хорошими примерами тесбенчей на этом языке. 4) Пишу под xilinx. Там есть синтезатор XST. Как я понимаю я могу задать начальное значение регистров( из доков самого синтезатора и кристалла). Вопрос, как граммотно это делается и во что синтезируется схема с начальным значением, к примеру сдвигового регистра. 5) ОБЩИЙ. порой нужно выводить контрольные точки из нижних уровней иеархии. Как на verilog/vhdl проделывают данный трюк не мучаясь, добавляя в интерфейс сигналы. В данный момент я в замешательстве. Хотелось овладеть данным языком, т.к. очень удобен, да и в общем.. Но уже второй день совсем не идут дела. Поэтому приму толковые советы.
  10. Добрый день. Есть сигнал с возможным периодом от 2,5 мс и длительностью импульса от 0,5 мс ( последовательность прямоугольных импульсов ) Нужно выяснить уровень сигнала( значение напряжения импульса ). С какой частотой дискретизации нужно брать отсчеты ( мне кажется можно где - то с 20 - 25 кГц будет нормально )? Есть ли отечественные ацп, подходящие под данный случай (на сайте миландра видел два ацп, один высокоскоростной, второй под 8 Мгц максимальная частота )? Как должна выглядеть входная цепь( имею в виду фильтр) для такого измерения? Или можно без него?
  11. ФАПЧ

    Нужна литература/реализация/модель ФАПЧ. Она должна иметь астатическую ошибку по фазе. Мне пока не удалось найти толковую литературу по цифровым фапч с астатизмом по фазе. Мне нужен квадратурный фапч( сигнал на входе представлен в виде комплексной огибающей) Есть ли типовая схема? Стать? и т.п.? Больше всего интересует астатизм и условия устойчивости.. Из толковых книг под рукой, Шахгильдян, Незами, пару стетей..
  12. Может быть....но не уверен...я защелки по крайней мере не описывал..и в синтезаторе на схеме пост синтез не наблюдал( на память) Но сей час еще раз гляну. Глянул. Нету . Только д-тригеры
  13. Проблема не в этом..... Проблема в том, что я описал блок,а он непонятно( для меня) почему не работает. Я осциллографом смотрел , ничего не увидел на выходе , даже тактовых для SPI. Начал выводить сигналы и дошел до этого куска. Думаю отправка хосту убьет лишь время, т.к. если модуль не работает( основной автомат вообще не работает/прием/передача/инийиализация ), то и отправлять нечего. Вот пример выше приводил модуля управляющего (подает SPI флаги начало передачи и выборку из памяти байтов для SPI на передачу). Он не работает, причины не понятны мне, в какую сторону смотреть тоже. ЗЫ. сам SPI еще не проверял, только автоматы для работы с микросхемой(иниц/чтени и т.п.)...боюсь , что он тоже наверное не работает.
×
×
  • Создать...