Перейти к содержанию
    

SS-Sasha

Участник
  • Постов

    45
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о SS-Sasha

  • Звание
    Участник
    Участник
  • День рождения 07.01.1976

Контакты

  • Сайт
    Array
  • ICQ
    Array

Информация

  • Город
    Array
  1. Спасибо, очень доходчиво. А указанные документы просмотрел в первую очередь, только с английским у меня не важно, видно что то упустил.
  2. Здравствуйте. Досталась по случаю плата со Spartan2e. На плате установлены PROM XC18V02, один микроконтроллер и несколько микросхем ОЗУ. Чтобы не заморачиваться с изготовлением макетной платы под БГА456 корпус, хочу запустить имеющеюся плату. Прозвонкой выяснил, что память подключена к ПЛИС по схеме очень похожей на Master/Slave Serial, вот только сигнал TDO подключен не так, как в документации. Сигналы TDI, TMS, TCK, TDO на ПЛИС никуда не подключены, по крайней мере на разъем не выходят. Подскажите пожалуйста, как называется такая схема подключения, в каком документе о ней можно почитать и как мне сконфигурировать ПЛИС? Спасибо.
  3. Здравствуйте. По мотивам темы в одном из блогов "Простенькие примеры на VHDL" на easyelectronics, пытаюсь выполнить мигалку светодиодом. Логика ее работы такова: в зависимости от состояния переключателя ( ноль или единица) светодиод должен мигать с частотой входящего сигнала от кварца или в десять раз медленнее. Но какую то ошибку все же я не вижу, так как в самом устройстве уже, корректно работает только ветка с условием "1 на входе", а если "0", то светодиод чуть светится, причем непрерывно. Сигнал CLK генерируется 555 таймером с частотой 1 Гц. Направьте пожалуйста на путь истинный. П.С. Код прилагаю. ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; entity Migalka is port( Knopka, CLK: in std_logic; -- кнопка и частота Led : out std_logic); -- выход на светодиод end Migalka; architecture Behavioral of Migalka is signal counter, Knopka_temp : std_logic_vector(3 downto 0):= (others => '0'); signal Led_temp, res : std_logic := '0'; -- сигнал состояния кнопки begin -- count : process(Knopka) -- процесс проверки состояния кнопки -- begin -- Knopka_temp<=(others => '0'); -- if (Knopka='1') then Knopka_temp<=Knopka_temp+1; -- else Knopka_temp<=Knopka_temp+10; -- end if; -- -- end process; count1 : process(CLK,res) -- первый процесс счетчика begin if(res = '1') then -- обнуляем счетчик, когда res = 1, как видно сброс асинхронный counter <= (others => '0'); elsif(rising_edge(CLK)) then -- здесь ждем фронта сигнала и увеличиваем на единицу значение в счетчике, если будет фронт counter <= counter +1; end if; -- Knopka_temp<=(others => '0'); -- if (Knopka='1') then Knopka_temp<=Knopka_temp+1; -- elsif (Knopka='0') then Knopka_temp<=Knopka_temp+10; -- end if; end process; L : process(counter) begin Knopka_temp<=(others => '0'); if (Knopka='1') then Knopka_temp<=Knopka_temp+1; elsif (Knopka='0') then Knopka_temp<=Knopka_temp+20; end if; if(counter = Knopka_temp) then -- проверяем достижения 'Knopka_temp' тактов res <= '1'; -- если достигли ставим сигнал сброса LED_temp <= not LED_temp; -- меняем значение в выдаваемого на светодиод, здесь как раз хорошо видно для чего нужен триггер --( это реализуется на триггере, мы помним предыдущее значение и его изменяем) else res <= '0'; -- если еще не досчитали до 'Knopka_temp' подтверждаем отсутствие сброса end if; end process; Led <= Led_temp; -- вот тут передаем значения из триггера на выход end Behavioral;
  4. Здравствуйте. Возможно ли последовательное соединение 2-х разных каналов +12В в одном АТХ БП для получения напряжения 24В? Читал, что два одинаковых блока так можно соединять, а касательно двух каналов одного не могу сообразить. Заранее спасибо за ответ.
  5. День добрый. Помогите пожалуйста в решении такого вопроса. Есть монитор Samsung 152B с внешним блоком питания. Родного блока питания не было, и на параметры имеющегося не посмотрел внимательно. Одним словом на вход подал ему +14В переменку. Результат - искры и дым. При осмотре платы видны несколько вздувшихся конденсаторов и преобразователь 7805. Есть вероятность, что при замене этих элементов все будет ОК? Или разрушения могли пойти дальше? Схема моника есть. Если подскажите , что еще можно проверить по схеме буду премного благодарен.
  6. Просто с течением времени тускнеет, и потом совсем пропадает. При этом правильно отображает форму исследуемого сигнала. Разъемы трубки?Чуть подробнее объясните пожалуйста.
  7. Спасибо, попробую отпишусь. Вопрос по конденсаторам: есть какие то особые требования к ним, или достаточно подобрать по номиналам?
  8. Гаснет трубка осциллографа с1-94 после примерно 1 часа работы? С чего начать проверку? Знатоки помогите пожалуйста.
  9. Добрый вечер. Собрал схему преобразователя 25В-5В по даташиту на МС34063. При включении питания все работает нормально кроме неприятного писка. Не опасно ли это?Подозреваю, что пищит дроссель. Так ли это и можно ли что-то сделать с этим? Заранее спасибо за ответ.
  10. Поставил R1 2,2К все заработало. Всем огромное спасибо.
  11. Реле на 5В переключения. Точную маркировку не помню.
  12. Прошу прощение если не в тот раздел задал вопрос - при выборе раздела ключевые слова действительно были "обратная связь и регулирование", как сказал tyro . А если по вопросу, то нужно организовать подачу питания на драйверы шаговых двигателей только если есть питание 5В. nt73.PDF
×
×
  • Создать...