Перейти к содержанию
    

set_false_path Как правильно задать в TimeQuest

Все не так страшно. Простой расчет: сигнал сопровождения к шине (т.е. строб) задерживается на 2 такта пересинхронизации в приемной части. Это значит, что данные могут задержаться не более чем на 2 такта относительно прихода строба. Если приемная частота 100 МГц, получаем 20нс - это очень много, откуда в ПЛИС такие задержки роутинга через матрицу интерконнекта? Далее, из приемной части должен прийти сигнал подтверждения приема. который задерживается на 2 такта в пересинхронизаторах передающей части, прежде чем выставятся новые данные. Итого, разброс задержек для шины между двумя клоковыми доменами составляет +/- 2 такта, или около +/- 20н (100 МГц), что очень много.

Опасность возникает, только если частоты под гигагерц, или если на границе клоковых доменов добавлена логика (изредка встречается, хотя я бы руки за такое отрывал) - вот тогда действительно нужно использовать малтисайкл 2.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Все не так страшно. Простой расчет: сигнал сопровождения к шине (т.е. строб) задерживается на 2 такта пересинхронизации в приемной части. Это значит, что данные могут задержаться не более чем на 2 такта относительно прихода строба. Если приемная частота 100 МГц, получаем 20нс - это очень много, откуда в ПЛИС такие задержки роутинга через матрицу интерконнекта? Далее, из приемной части должен прийти сигнал подтверждения приема. который задерживается на 2 такта в пересинхронизаторах передающей части, прежде чем выставятся новые данные. Итого, разброс задержек для шины между двумя клоковыми доменами составляет +/- 2 такта, или около +/- 20н (100 МГц), что очень много.

Опасность возникает, только если частоты под гигагерц, или если на границе клоковых доменов добавлена логика (изредка встречается, хотя я бы руки за такое отрывал) - вот тогда действительно нужно использовать малтисайкл 2.

 

Полностью согласен, задержка при передаче от триггера к триггеру (если между ними нет комбинационной логики) в современных ПЛИС занимает мало времени. Так что констрейтить, думаю там действительно не стоит, а то это уже какой то паранойей попахивает)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Что то я совсем не так делаю...

 

Не хочет Квартус отключать выбранные пути из анализа. Из-за этого к чертям все времянки летят. Когда анализирую отдельно основную часть проекта (которая на 156 Мгц работает) - он пишет, что может ее и на 230 МГц вытянуть. Когда подключаю дополнительный модуль, работающей на 50 МГц, который изредка обменивается данными с основным, то все рушится. Вместо 156 Квартус дает только 105 МГц (это при том, что раньше он 230 гарантировал!!!). И в отчете как раз междоменные и заваливают частоту.

 

И как мне этот проклятый констрейт правильно задать?!

Изменено пользователем gin

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

да вроде не сложно

 

set_false_path -from [get_clocks clk_1] -to [get_clocks clk_2]

set_false_path -from [get_clocks clk_2] -to [get_clocks clk_1]

 

или

set_false_path -rise_from <clk_1> -rise_to <clk_2>

set_false_path -rise_from <clk_2> -rise_to <clk_1>

ну и от падающего до восходящего и наоборот если есть работы по разным фронтам

 

не забывайте что надо как вперед, так и назад

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

да вроде не сложно

 

set_false_path -from [get_clocks clk_1] -to [get_clocks clk_2]

set_false_path -from [get_clocks clk_2] -to [get_clocks clk_1]

 

или

set_false_path -rise_from <clk_1> -rise_to <clk_2>

set_false_path -rise_from <clk_2> -rise_to <clk_1>

ну и от падающего до восходящего и наоборот если есть работы по разным фронтам

 

не забывайте что надо как вперед, так и назад

 

Тоже так подумал, что надо в обе стороны описывать, вроде помогло. Вот что значит, поплакался на форуме, и заработало))

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Для сокращения длинных плохо читаемых автоматически созданных имён можно использовать макроподстановки TCL.

Очень заинтересовало Ваше сообщение. Надоело после команды derive_pll_clocks врукопашную править имена всех клоков на смысловые. Не сможете ли примерчик подкинуть?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Очень заинтересовало Ваше сообщение. Надоело после команды derive_pll_clocks врукопашную править имена всех клоков на смысловые. Не сможете ли примерчик подкинуть?

 

Кстати да, а то писать эти длинные имена вручную очень утомительно. Да и при изменениях в проекте эти имена ведь тоже меняются. Хочется автоматизировать

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

И как мне этот проклятый констрейт правильно задать?!

Сделайте через GUI в TimeQues. Команда будет продублирована в командной строке. Скопируйте её и вставте в свой SDC файл.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Очень заинтересовало Ваше сообщение. Надоело после команды derive_pll_clocks врукопашную править имена всех клоков на смысловые. Не сможете ли примерчик подкинуть?

set rx_clk_name {rx_10g_inst|rx_10g_inst|xv_xcvr_10gbaser_nr_inst|ch[0].sv_xcvr_10gbaser_native_inst|g_fpll.altera_pll_156M~PLL_OUTPUT_COUNTER|divc
lk}
set sys_clk_name {pll_sys_inst|pll_sys_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}

set_false_path -from [get_clocks $rx_clk_name] -to [get_clocks $sys_clk_name]

# и ещё больше можно упростить:
set rx_clk [get_clocks $rx_clk_name]
set sys_clk [get_clocks $sys_clk_name]
set_false_path -from $rx_clk -to $sys_clk

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

set rx_clk_name {rx_10g_inst|rx_10g_inst|xv_xcvr_10gbaser_nr_inst|ch[0].sv_xcvr_10gbaser_native_inst|g_fpll.altera_pll_156M~PLL_OUTPUT_COUNTER|divc
lk}
set sys_clk_name {pll_sys_inst|pll_sys_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk}

set_false_path -from [get_clocks $rx_clk_name] -to [get_clocks $sys_clk_name]

# и ещё больше можно упростить:
set rx_clk [get_clocks $rx_clk_name]
set sys_clk [get_clocks $sys_clk_name]
set_false_path -from $rx_clk -to $sys_clk

Спасибо! Но это как раз понятно. Здесь строчку

rx_10g_inst|rx_10g_inst|xv_xcvr_10gbaser_nr_inst|ch[0].sv_xcvr_10gbaser_native_i

nst|g_fpll.altera_pll_156M~PLL_OUTPUT_COUNTER|divclk

всё равно придётся писать вручную (копипастить). Например у меня название клока такое spll1|pll1_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|vco1ph[0], а после пересборки проекта вполне может вместо vco1ph[0] оказаться vco1ph[1],естественно сыпятся все ограничения. Тогда приходится ручками перебивать все имена. Я эту ситуацию имел ввиду.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо! Но это как раз понятно. Здесь строчку

rx_10g_inst|rx_10g_inst|xv_xcvr_10gbaser_nr_inst|ch[0].sv_xcvr_10gbaser_native_i

nst|g_fpll.altera_pll_156M~PLL_OUTPUT_COUNTER|divclk

всё равно придётся писать вручную (копипастить). Например у меня название клока такое spll1|pll1_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|vco1ph[0], а после пересборки проекта вполне может вместо vco1ph[0] оказаться vco1ph[1],естественно сыпятся все ограничения. Тогда приходится ручками перебивать все имена. Я эту ситуацию имел ввиду.

Можно попробовать получить идентификатор и имя выходного пина PLL по имени clock net:

foreach_in_collection net [get_nets "clk"] {
  puts [get_net_info -name $net]
  puts [set pin_id [get_net_info -pin $net]]
  puts [set pin_name [get_pin_info -name $pin_id]]
}

А потом непосредственно сделать имя клока из имени пина, или, по-научному, перебрать все клоки, и выбрать те, у которых target равен $pin_name

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Можно попробовать получить идентификатор и имя выходного пина PLL по имени clock net:

foreach_in_collection net [get_nets "clk"] {
  puts [get_net_info -name $net]
  puts [set pin_id [get_net_info -pin $net]]
  puts [set pin_name [get_pin_info -name $pin_id]]
}

А потом непосредственно сделать имя клока из имени пина, или, по-научному, перебрать все клоки, и выбрать те, у которых target равен $pin_name

Спасибо! Как появится "окно" в работе обязательно попробую.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...