Перейти к содержанию
    

AA55

Свой
  • Постов

    60
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о AA55

  • Звание
    Участник
    Участник
  • День рождения 17.11.1963

Контакты

  • Сайт
    Array
  • ICQ
    Array

Информация

  • Город
    Array

Посетители профиля

1 552 просмотра профиля
  1. Спасибо, заработало! Проблема, действительно, была в "порче" ebx.
  2. Уважаемое сообщество, помогите пожалуйста. Тема: передача параметров в подпрограмму на ассемблере. Головная программа z2c.c вызывает подпрограмму z2a.asm, которая копирует строку из второго аргумента в 1-й. Пока аргументов было 3, всё работало. После добавления 4-го, программа валится см. z2.log. Если закоментировать в подпрограмме (z2a_.asm:17) извлечение 4-го аргумента - подпрограмма исполняется. Куда смотреть и где копать? Makefile
  3. Так и поступил. Работает на ура. Всем спасибо. В архиве генератор модуля из файла ПЗУшки. ROMmux.7z
  4. Скажите, пожалуйста, или пошлите, где почитать, как это принято делать? По наивности, я считал, что DеsignWаrе подхватит её "налету", но этого не произошло, что наглядно видно из лога testROM.7z
  5. Може в Винде есть смонтированные ссылки на недоступные сетевые ресурсы?
  6. Может поможет? У неё два питания - слева и справа и они могут быть разными. SN74LVC8T245.pdf
  7. Ну ежели тряхнуть стариной и вспомнить молодость, то можно и на 561-ой (счётчики и регистры). Но рекомендация - микроконтроллер, тем более, что в большинстве из них уже есть готовые и счётчики и регистры управления индикацией, не считая такой ерунды, как возможность перепрограммирования, гибкость внешнего управления и простота передачи данных в PC.
  8. Лень как двигатель прогресса... Возникла задача из плис сделать коммутатор, что бы управление платой по внешнему сигналу производилось либо внешним DSP (через разъём), либо локальнам MCU. Что бы не писать огромное количество конструкций вида "сигнал внутри платы"<="сигнал от MCU" when(CMCU='1') else "сигнал с внешнего разъёма"; ... по наводке ASN написал Package c типами (см. файл), всё переключение "свернулось" в несколько строк кода, и было счастье, но недолго :unsure:, а именно - попробовал положить проект в кристалл и вместо ожидаемых красивых структуированных имён контактов получил ... линейный массив сигналов :(. Начал разбираться откель ноги растут и в выходном файле синтезатора сразу же обнаружил вместо ожидаемого entity Top is port( Clk4 : in std_logic; MB_In : in Out_Type; MB_Out : out In_Type; DSP_In : in In_Type; DSP_Out : out Out_Type; ----------------------------------------------------------- MCU_P1 : inout std_logic_vector(7 downto 0); MCU_P2 : in std_logic_vector(7 downto 0); MCU_P3h : in std_logic_vector(7 downto 6); MCU_P3l : in std_logic_vector(3 downto 0); MCU_P4 : inout std_logic_vector(5 downto 0); MCU_P5 : in std_logic_vector(7 downto 0); MCU_P6 : inout std_logic_vector(7 downto 0) ); end Top; нечто иное: entity Top is port( Clk4 : in std_logic; MB_In : in std_logic_vector(29 downto 0); MB_Out : out std_logic_vector(9 downto 0); DSP_In : in std_logic_vector(9 downto 0); DSP_Out : out std_logic_vector(29 downto 0); MCU_P1 : in std_logic_vector(7 downto 0); MCU_P2 : in std_logic_vector(7 downto 0); MCU_P3h : in std_logic_vector(7 downto 6); MCU_P3l : in std_logic_vector(3 downto 0); MCU_P4 : in std_logic_vector(5 downto 0); MCU_P5 : in std_logic_vector(7 downto 0); MCU_P6 : out std_logic_vector(7 downto 0) ); end Top; Отсюда вопрос: можно ли на финишной распечатке чипа получить сложные, структуированные наименования контактов? P.S. Система проектирования - Либеро. Top.vhd
  9. Танцующий -> AA55 ---------- ... Вот такая она, жизнь, не всегда простая...
  10. Спасибо, я пришёл к такому же выводу: нужны исходники. Вопрос: чем можно декомпилировать существующую прошивку Z80180?
  11. В том то и дело, что я не могу определиться с выбором контроллера. Пердо мной поставили задачу перейти с Z80180 на более современный контроллер, желательно с флэшем и ETHERNET'ом. А по Z80 у меня нет достаточного опыта работы. Меня больше всего интересует переходил ли кто-нибудь с младших моделей процессоров Zilog'а на более старшие и есть ли там бинарная совместимость на уровне прошивок ПЗУ или необходимы исходники для перекомпиляции прошивки.
  12. Вариантов несколько, но в любом случае хочется поменьше возни. Идеальный вариант - сделать новую плату на новом MC, а прошивки взять старые, таким образом подготовить плацдарм для написания собственного кода. При этом я вполне допускаю, что в такой постановке задача не имеет решения, поэтому принимаются самые разные идеи и опыт по переходу: на какие подводные камни можно нарваться и на что надо смотреть в первую очередь.
  13. Подай ещё и управляющие сигналы. Тольки имей ввиду, что на двунаправленных шинах нужно синхронно менять активные уровни и "ZZZZZZZZ" в соответствии с управляющими сигналами, иначе получишь "XXXXXXXX"
  14. Из лампы точно не подойдёт. Кольца - не знаю. Я бы попробовал что-нибудь с разомкнутым сердечником. Из доступного - что-нибудь типа гвоздя - сотки и витков <сколько не жалко и не утомительно> миллимиетровой проволки. Хуже точно не станет, а вот помочь может.
  15. конечно можно. но ведь у китайца работало без дросселя! я думаю всетаки косяк в формулах по которым считаю... см. выше: "все бы хорошо, но исходный трансформатор намотан жутко тонким проводом а следовательно тока ждать от него не приходилось да и количиство витков на вторичной обмотке недостаточно было принято решение намотать свой трансформатор какой надо а не какой есть. и вот тут как раз и возникли вопросы в итоге экземпляр 1 был на мотан на кольце 45х25х11 2000НМ и ..." мне кажется причина именно в том, что исходный трансформатор по-другому себя ведёт, нежели кольцо, в особенности по отношению к высокочастотным гармоникам, которые на порядок - два выше, чем частота ШИМа. Если грубо, то в кольце получается а-ля СВЧ-печка. Способ борьбы тривиален как мир - последовательно поставить простейший заградительный ФНЧ == дроссель :-).
×
×
  • Создать...