Перейти к содержанию
    

xilinx,vivado,изменение параметров ip

здравствуйте,

в vivado создал fifo_generator_0. мне нужно работать под 125МГц. я и завел туда 125МГц. При синтезе получаю предупреждение

 [Timing 38-316] Clock period '10.000' specified during out-of-context synthesis of instance 'fifo_generator_0' at clock pin 'rd_clk' is different from the actual clock period '8.000', this can lead to different synthesis results.

не могу изменить параметры IP. раньше я изменял в файле констрейна IP

 create_clock -period 10 -name wr_clk [get_ports wr_clk]

10 на 8 и при повторном открытии у меня ip пересобирался. и все было нормально. но сейчас vivado 2016.4 так не работает. может я на счет "раньше" что-то путаю. подзабыл.

но сейчас хотел сделать как в UG896. tcl команда

set_property CONFIG.CORE_CLK.FREQ_HZ 125000000 [get_ips fifo_generator_0]

меняет содержимое fifo_generator_0.xci(MASTER и SLAVE - меняется) , а вот на следующие строки( READ_CLK.FREQ_HZ и WRITE_CLK.FREQ_HZ) в этом файле команды

set_property CONFIG.READ_CLK.FREQ_HZ 125000000 [get_ips fifo_generator_0]
set_property CONFIG.WRITE_CLK.FREQ_HZ 125000000 [get_ips fifo_generator_0]

не действуют. т.е. там остается 100000000. при этом ошибки не выдает.

...
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.FREQ_HZ">125000000</spirit:configurableElementValue>
        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.FREQ_HZ">100000000</spirit:configurableElementValue>
        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.FREQ_HZ">100000000</spirit:configurableElementValue>
        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ACLK.FREQ_HZ">100000000</spirit:configurableElementValue>
        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.FREQ_HZ">100000000</spirit:configurableElementValue>
...

может по другому как-то? как можно изменить параметры?

2. еще один вопрос . меня напрягает такие предупреждения

 [Project 1-486] Could not resolve non-primitive black box cell 'clk_wiz_0' instantiated as 'clk_wiz_125' ["P:/vivado_2016_4/project_5/project_5.srcs/sources_1/new/top.v":39]

[Project 1-486] Could not resolve non-primitive black box cell 'fifo_generator_0' instantiated as 'fifo_generator_0' ["P:/vivado_2016_4/project_5/project_5.srcs/sources_1/new/top.v":51]

и это есть для нескольких IP. причем пересборка не убирает такое предупреждение.

как от этого избавиться?

Изменено пользователем serg_k1

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Может быть проблема в out-of-context synthesis? Всегда использую опцию Global.

это я пробовал. если это " generate output productы" - не влияет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

здравствуйте,

Здрасьте!

Не нужно в корках никаких частот задавать.

А задайте её один раз в sdc (xdc) файле.

 

2. еще один вопрос . меня напрягает такие предупреждения

Забейте.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не нужно в корках никаких частот задавать.

А задайте её один раз в sdc (xdc) файле.

Не очень понятно зачем это делать. Ведь и так vivado определил , что частота заведена и не та. нужно дать команду на пересинтез ip с новыми параметрами.

но я попробовал. задал

create_generated_clock -name fifo_gen_64_160/rd_clk -source [get_pins clk_125_tx/clk_out2] -divide_by 1  [get_pins fifo_gen_64_160/U0/rd_clk]

об этом речь? но это ничего не изменило. предупреждение осталось.

ну а на счет "забить" , то так и происходит. но количество ip большое. и предупрежэдений много. и по частоте и по "resolve non-primitive black box". и они мешаются под ногами.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ведь и так vivado определил , что частота заведена и не та.

Интересно, как он мог это сделать? Телепатически?

Или всё-таки из-за того, что она задана в двух разных местах по-разному?

 

 

но я попробовал. задал
create_generated_clock -name fifo_gen_64_160/rd_clk -source [get_pins clk_125_tx/clk_out2] -divide_by 1  [get_pins fifo_gen_64_160/U0/rd_clk]

об этом речь?

Нет конечно. Для начала никаких дженерейтед клоков, а только клок на входе.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Или всё-таки из-за того, что она задана в двух разных местах по-разному?

 

Нет конечно. Для начала никаких дженерейтед клоков, а только клок на входе.

ну конечно она задана: - на входе частота(описана - это клок на входе . просто я про это не писал.) и далее из нее получается частота fifo. и она не совпадает с частотой , описанной в ip. все именно так. вопрос как изменить? потому-что не получается изменить это tcl командой. именно для этого параметра. а то , что вы предлагаете - изначально сделано.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Оперный коразь....

Я же сразу сказал: один раз задайте, и именно входной клок.

А если будет опять ругаться, значит у вас по-прежнему где-то задано второй раз, и неправильно, неужели не понятно?

Ищите до посинения, где оно задано второй раз, и уберите.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Оперный коразь....

Я же сразу сказал: один раз задайте, и именно входной клок.

А если будет опять ругаться, значит у вас по-прежнему где-то задано второй раз, и неправильно, неужели не понятно?

Ищите до посинения, где оно задано второй раз, и уберите.

это все известно. в каких файлах и что нужно изменить(убрать). но... когда я изменяю руками - это не воспринимается. потому-что синтез уже произведен. и допуска к генерации выходных параметров нет. выдается

Generate of output products did not run again as all output products were previously generated and up-to-date.

если же запустить customize ip - то все восстанавливается(с не устраивающими параметрами). это к тому, что один раз задать.

поэтому я думал путь такой- меняются параметры в xci файле,потом генерация ip. все это tcl командами. к сожалению , это не проходит. сейчас проверил на ip , где можно менять параметры. так что нужен еще какой-то путь.

 

 

Может быть проблема в out-of-context synthesis? Всегда использую опцию Global.

это помогло, просто я в прошлый раз лопухнулся сам. запустил не тот проект.

и это ,кстати, убирает частоту из параметров IP. но, все-таки, как менять параметры не ясно.

спасибо всем!

Изменено пользователем serg_k1

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...