Перейти к содержанию
    

qwa

Участник
  • Постов

    80
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о qwa

  • Звание
    Частый гость
    Частый гость

Контакты

  • Сайт
    Array
  • ICQ
    Array

Информация

  • Город
    Array

Посетители профиля

1 319 просмотров профиля
  1. Всем доброго времени суток. Какую ИС смесителя посоветуете? ПЧ: 10,7 МГц Модуляция: АМ Несущая: 26,9-27,5 МГц Чувствительность: 20-50 мкВ
  2. С Латтисами не работал - только с Xilinx и Альтера. :laughing: Кстати, позволю небольшой оффтоп - какой сегмент рынка держат Латтисы? Ну для каких задач в основном ПЛИСки делают.
  3. Синтезируется. И в ISE тоже. Это или их особая фишка, или нововведение какое-то - в книжке 2004 года по VHDL сказано,что такая конструкция не синтезируется :laughing:
  4. Вообще,подобные конструкции нужны только для симуляции....
  5. Я работаю не в ISE, а в качестве симулятора использую Моделсим. При этом, в Моделсиме все как раз работает нормально при любой конфигурации дизайна, а описанный баг появляется при принятии данных непосредственно с ПЛИС.
  6. Тут на эту же тему возникла занятная проблема. Проблема: у меня есть 2 сгенерированных ядра памяти (параметры задаются одинаково). Оба получившихся блока есть у меня в библиотеке проекта, но корректно все работает, только если в дизайне только одно из них. То есть,допустим,я добавил в проект обе памяти,но к выходам одной из них ничего не подключил - не работают обе. Если однуиз них удалить, то оставшаяся работает правильно. Не сталкивался кто-нибудь?
  7. Просто любопытно: коэффициенты фильтров вычислять на бумажке планируете?
  8. Между прочим, string не входит в синтезируемое подмножество языка VHDL (можно использовать при моделировании,но нельзя в железе).
  9. Увеличивается на 1 при каждом восходящем фронте тактового сигнала
  10. 1 clock cycle Да,при загрузке сое файла в память можно посмотреть его содержимое непосредственно в генераторе ядер, и так как и положено,отображается 16000 по нулевому адресу. А в Моделсиме - нолик.
  11. Всем привет. Надо в проекте использовать ROM. Файлик, инициализурущий память,пишу так: MEMORY_INITIALIZATION_RADIX = 10; MEMORY_INITIALIZATION_VECTOR = 16000, 16000, -16000, -16000, 16000, -16000, -16000, -16000, -16000, -16000, . . . . и тд Шина адреса - 10 бит. При этом, когда стоит адрес "000000000", то на выходе памяти не 16000,а просто ноль. А когда адрес "000000001" - то 16000 (и вообще,дальше данные идут правильно). В чем проблема?
  12. Благодарю всех за ответы, разберу этот вопрос.
  13. Здравствуйте. Такая проблема: мне надо при изменении входного сигнала поднимать в 1 выходной, а все остальное время он должен быть равен нулю. LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY c IS -- Declarations port( input : in std_logic_vector (5 downto 0); outflag : out std_logic ); END c; -- ARCHITECTURE c_arch OF c IS BEGIN process begin if (input'event) then outflag <= '1'; else outflag <='0'; end if; end process; END ARCHITECTURE c_arch; Моделирование в Моделсиме удручает; задаю некоторое значение для input и симулирую (прописываю run 100,к примеру). В результате симуляция просто виснет. Что не так с кодом?
  14. Всем привет! В общем, как это обычно и бывает,меня подвела собственная невнимательность. Не буду рассказывать всю историю, но ситуация такая,что я смотрел спектр сигнала с неразделенными квадратурами (которые,соответственно,не было объединены в комплексный сигнал), о чем разработчик программы для DSP сообщил мне только вчера. В общем,нет больше этой загадочной проблемы с постоянной составляющей, но есть другая. Мой гетеродин настроен на частоту 75.42 МГц. Я провел 2 теста. Тест №1. Внутри моего модуля стоял еще один DDS и выдавал синус. Частота синуса - 76.42 МГц. Тест №2. Я подключил к моей системе внешний генератор (гармонических сигналов). Частоту поставил так же 76.42 МГц. В обоих случаях результат одинаков: в спектре есть нужная и ожидаяемая гармоника на 1 МГц, но есть так же и какая-то левая гармоника в отрицательных частотах (-14.86 МГц). Пересобрал фильтр так,чтобы эта частота была за полосой,и все равно эта гармоника осталась! Спектр в приложении. Первая картинка - спектр синуса. Вторая картинка - спектр с генератором до смены фильтра. Третья картинка - спектр с генератором после смены фильтра. Какие есть версии её появления?
×
×
  • Создать...