Перейти к содержанию
    

Quartus тормозит

Последние версии Quartus жутко тормозят при работе: очень долго открывается проект, а также диалоговые окна открытия файлов.

Подскажите это у всех так или может что дополнительно установить требуется?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Последние версии Quartus жутко тормозят при работе: очень долго открывается проект, а также диалоговые окна открытия файлов.

Подскажите это у всех так или может что дополнительно установить требуется?

1. Если не хватает места свопить файлы на С, то укажите, чтобы свопились на Д...

2. Если делаете TRL, то можно не куазывать тип кристалла, паровоз будет чуть быстрее.

3. Установите внешний редактор, файлы открываться будут очень быстро.

4. Установите Моделсим и ему тоже укажите внешний редактор. Для RTL уровня будет вообще все очень быстро. А Увартус будет только размещать проект на кристалле...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1. Если не хватает места свопить файлы на С, то укажите, чтобы свопились на Д...

Как это сделать?

 

Вообще у меня стоит две версии 12.1 и 16.1 разница в скорости открытия проекта огромна: на 12.1 около 5 секунд, на 16.1 около 20 секунд.

Тоже и с диалоговыми окнами открытия файлов.

Оба установлены на диске D.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Как это сделать?

 

Вообще у меня стоит две версии 12.1 и 16.1 разница в скорости открытия проекта огромна: на 12.1 около 5 секунд, на 16.1 около 20 секунд.

Тоже и с диалоговыми окнами открытия файлов.

Оба установлены на диске D.

Наверное как-то так:

http://kzncomputer.ru/articles/19-uvelichi...dkachki-windows

 

Но я уже давно работаю только с внешним симулятором и только с внешним редактором. Поэтому ни о каких десятках секунд в открытии файлов у меня речь не идет...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Но я уже давно работаю только с внешним симулятором и только с внешним редактором. Поэтому ни о каких десятках секунд в открытии файлов у меня речь не идет...

Иосиф, могли бы Вы описать используемый Вами маршрут?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Иосиф, могли бы Вы описать используемый Вами маршрут?

Так тут все просто. Мои проекты не содержат сложных фильтров, обработки видео и пр. Это в основном контроллеры и интерофейсы... Поэтому маткадов-матлабов нет.

Первая часть работы - RTL проектирование и симуляция. Использую Моделсим, стоящий вообще отдельно. Все что есть в Ксайлинксовских библиотеках копирую в рабочую папку, которую использую в этой части проекта. Потому как в некоторых ксайлинксовских примитивах приходится подрезать время начальной установки, чтобы не крутить длинные времянки...

Дело в том, что Квартус, создавая проект, сразу спрашивает тип кристалла. И разворачивает свою базу данных для него. А Моделсиму это не нужно. Поэтому он быстрее. И моделсим в любом месте, в лбой конторе, для любых альтер-ксайлинксов, один и тот же...

В качестве редактора - EditPlus3, он и для самопальных процессоров, и для Моделсима и для ВСВ6. Он умеет открывать все файлы проекта одним кликом... И в нем есть заготовки, куда я прописал куски своих проектов: заголовки файлов, счетчики и пр...

Одновременно с верилогом делаю файлы Срр и блок-схемы в визио или любой другой рисовалке. Потому как сразу же копирую везде названия регистров, сигналов и пр..

Для самодельных микроконтроллеров делал самодельные программные инструменты в ВСВ6. Ассемблер + программный симулятор + инструмент создавал дамп памяти и в нужный кусок вериложного файла этот дамп вставлял. И в регистр версии-даты в вериложном файле автоматически прописывалась дата компиляции.

 

Если что-то лень писать на верилоге, то делаю визард в ВСВ6. Мне так проще, особенно если сигналов много.

Был самодельный программный инструмент который писал автоматически констрейны сразу на всю шину. Сейчас вроде такое есть фирменное. А то шины по 144 сигнала и скорости нарастания и стандарты руками писать было лень. Ну о номера выводов импортировались из файла конструкции вместе с названиями сигналов. И к ним потом генерились автоматически в вериложный файл LVDS-буфера, провода и т.д.

 

Ну и поскольку в том проекте было 300 Мгц и 50 Мгц, то к первой констрейны мне дали готовые вместе с блоком, который делал другой парень. А на 50 Мгц особых проблем не было. Компилятор разложил проект без проблем, так что мне хватило его "честного слова"... Компиляцию запускали на сервере... Пока идет компиляция - можно локально ковыряться дальше... А сервер - один на всех, там была рабочая станция.

Дальше - осциллом. И самодельными программными тестами...

 

PS был проект, где я делал свой логический анализатор, когда еще у Альтеры не было такого фирменного...

 

Вот как-то так... Подробнее могу показать по скайпу...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...