Перейти к содержанию
    

mr.Koddy

Участник
  • Постов

    24
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о mr.Koddy

  • Звание
    Участник
    Участник

Контакты

  • ICQ
    Array
  1. А зачем там писать PLD, не проще указать функцию, которая Ваша ПЛИС выполняет, например, какое-нибудь "Арифметико-логическое устройство" :) <{POST_SNAPBACK}> Это можно. Но как называется микруха писать обязательно(сверху).Что она выполняет можно написать снизу. Обсужу, как тока он появиться.У него щас проблемы. Много, но не на столько же.:)К тому же сокращения выводов через "..."никто не отменял. Не совсем понял. Т.е написовать грубо говоря принципиально-функциональную схему, если б у меня не было ПЛИС? Если так, то не думаю, что рук. на это согласиться. Гы-гы-гы.Такие тонкости никого не волнуют, могу заверить в этом с полной уверенностью.
  2. Я смотрел - нету. PLM есть. Хотя может не внимательно смотрел.Или в в ГОСТе есть изменения, которых в данном мануале нету. Год издания 1992. Да, есть. Но я как-то привык все на микросхеме писать. Понимаешь, мне как раз побольше не надо. Мне поменьше надо.А то если я все впру в 1-2(грубо говоря) ПЛИС-ки, то что я буду чертить на принципиальной и функциональной схеме? :blink: Меня ж с таким делом пошлюк куда подальше.
  3. Такого рода софтом я пользовался 2 раза т.к. за все время учебы надо было ваять руками и на старых советских микрухах. Что мне надо сделать я представляю, возможно не полностью:) Мне надо сделать устройство, которое реализуется алгорим шифрования ГОСТ 28147-89. По возможности все режимы. Кое-какие общие для всех решимов модули я уже в МАХ+ сделал. Скоро доделаю. Но пока остановился из-за описанных выше трудностей.
  4. Все вместе. Ну ладно, в записке там ченить напишу по теме.А на плакаты(А1,А0) что выносить? Принципиальную схему соединения нескольких ПЛИС?(в одну у меня не влазит) Может и в записка. НО у меня должно быть как минимум 3 плаката(чертежа): 1 - Структурная схема.Это и есть "большие квадраты" 2 - Принципиальная схема. Соединения ПЛИСов. 3 - Функциональная схема.Смесь бульдога с носогогом(не полная принципиальная, как мне обьяснили т.е. без уточнения элементной базы, но с "корпусами")Так же могут там присутствовать элементы структурной схемы(ну есть идиоты, которые такое требуют). Я тоже думал об этом. Но я не совсем представляю, что писать в центральном поле кроме PLD. Там же в принципе пишется маркировка микросхемы. Извеняюсь за тупой вопрос, а что такое PLD? Влом щас в ГОСТе копаться. структурную схему я буду на плакат выносить. И еще такой момент - как бы узнать, принципиальную схему каждой ПЛИС, т.е. что на ней собрано. Т.к. надо каждую микруху раскрывать.А МАХ+ это вроде не уточняет.
  5. Подскажите пожалуиста где можно качнуть. Я ей никтогда не пользовался. И еще такой момент. Как я могу судить по названию, эта прога позволяет конструировать эллементы на языках описния ппаратуры или я не прав?
  6. Лично мне ГОСТы до лампочки. А вот всяким там преподам и комиссии думаю, что не до лампочки. Чего делать я знаю и на чем можно сделать тоже. Остается только выбрать. KA_ru, а ОЗУ на AHDL в Мах+ тоже круто. Разницы имхо нет.Сделать можно все.
  7. Всем доброго времени суток. Что бы не было всякого рода непоняток, уточню, я разрабатываю устройство в рамках дипломного проекта. Устройство в принципе не сложное - шифрование/дешифрование. Могу сделать как на ПЛИС, так и на ИС низкой и средней степени интеграции(о как замутил). С ПЛИСами я бы не хотел связываться, потому что чертежи оформлять надо с учетом этих долбаных советстсих ГОСТов. И по моему мнению, ПЛИСы туда вписать будет довольно сложно. Могут прицепиться. Колупаться вручную с советскими микрухами мне крайне не хочется, ибо это муторно.Поэтому наверное буду разрабатывать на западной логике. Вот я и хотел бы узнать, какая среда подходит больше всего под мои требования? Сейчас у меня есть: Orcad 9.2, MultiSim 2001(годиться для вполнения лаб по электротехнике) и Max+plus II(только ПЛИСы). Оркад меня удовлетворяет, за исключением того, что в его базе не все что мне надо есть. Отсутствует PSpice-модель ОЗУ.Мультиплексоров вроде тоже нету(могу пропустить т.к. там все без описания),егистры вроде видел. Может что лучше подскажите. Спасибо.
  8. Мне надо это сделать обязательно в МАХ+.Это контрольная, и кроме моделирования мне ниче больше не надо. А как их перевести? На входе(в эмуляции) у меня полюбому будут двоиные числа.А их надо представить что типа это 16-тиричные.Вообще-то физически таких чисел нету, вот и хотел сделать это типа символьных переменных.
  9. Да, но из-за этих предупреждений не идет иногда моделирование. Вот такую простую штуку мне надо сдалть: PACKAGE hex_pkg IS TYPE HEX_TYPE IS ('9','A', 'B', 'C', 'D', 'E', 'F'); END hex_pkg; use work.hex_pkg.all; entity dc_1 is port( ss: in HEX_TYPE; y: out bit_vector(3 downto 0) ); end dc_1; architecture functional of dc_1 is signal a: bit_vector(3 downto 0); begin p0:process(ss) begin CASE ss IS WHEN '9' => a<="1001"; WHEN 'A' => a<="1010"; WHEn 'B' => a<="1011"; WHEn 'C' => a<="1100"; WHEn 'D' => a<="1101"; WHEn 'E' => a<="1110"; WHEn 'F' => a<="1111"; end case; end process; y<=a; end functional; Эти предупреждения все равно есть на y3 и ss3. Но вот низадача, это описание компилиться, а вот моделируется криво т.е. на y всегда что-то есть и если я на ss подю несколько чисел, то на y появляется одна и та же комбинация пока я на ss подаю что-то.Т.е как я думаю, в y просто переписываетс значение какое-то мусорное (Х) значение a, а условие не выполняется.
  10. Как советовал vetal я попробовал сделать.Тогда вообще куча всяких малоинформативных сообщиенй об ошибках.В одном из них говориться, что на входы падавать значения нельзя(смысл примерно такой).
  11. Лицензия у меня поддерживает сделующее: VHDL output VHDL entry ну много чего еще.Неподдерживаемого(в правом столбце нету ничего. Вот дословно варнинги, которые мишет МАХ+ Primitive 'y3' is stuck at VCC Primitive 'y2' is stuck at GND и т.д. Ignored unnecessary INPUT pin ss1 Ignored unnecessary INPUT pin ss0 Эти входы и выходы обозначены так: entity dc_1 is port( ss: in integer range 3 downto 0; y: out bit_vector(3 downto 0) ); гм....я ж говорю, хелп там некудушный :( А я думал, что входам и выводам присвается уровень 1 или 0, пока я его не задал сам как-то автоматически(т.к. я я пока программировать ПЛИС не планирую, то можно было бы ограничиться этим в режиме моделирования).Но все равно попробую.Хотя даже чисто физически, на входы все равно что-то подается, если конечно нет физического отключения.Ну а выходы почти так же, за тем исключением, что там либо 0,1 и 3-е состояние(не путать с 'неопределено значение').
  12. Не лабу, а контрольную.Хотя это не важно. :) А как праверить что она нормальная? Пару простениких схемок на нем сдела- все работает. Хелп там ужасный.Примеры мне особо не помогут. Кстати, а где в сети можно набрать vhdl-файлов с различными девайсами? мож там и мое будет. :rolleyes: Собственно у меня МАХ+ странное выдает при компиляции.Щас дословно не првиеду, но смыслс варнингов такой, что входы и выходы(те что в vhdl-файле) никуда не подключены.Я его прям так и компилирую, предварительно обозвав его проектом.Ошибок не пишет.Ну и не моделируется - пишет теже варнинги.
  13. Да, я просмто хочу промоделировать vhdl. Неподдерживаемые конструкции я вроде не использую.В нескольких форумах(адреса не помню) видел мессаги о том, что МАХ Plus с VHDL не сильно дружит.Если мерить чему о котором я упомянул выше, то МАХ+ глючит действительно.Хотелось бы для достоверности момоделировать на другом САПРе, но видимо не судьба
  14. Подскажите пожалуйста, кто знает. Надо мне описать схему на VHDL. Имеется 3 САПРа: max+plus 2, Orcad 9.2, MultiSIM 2001. На первом у меня компилятор ругается.(я это VHDL-описание дал челу, он на другом компиляторе все скомпилил.Как называется не понмю.) В оркаде и MultiSIM нет возможности создавать VHDL-проекты(где выбор проекта, на VHDL-пункте серое). Как быть?
×
×
  • Создать...