Перейти к содержанию
    

Alexander_35

Новичок
  • Постов

    4
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный
  1. vadimuzzz, спасибо за констрейны! doom13, в доке на TSE, правда версии 14, написано: In the new user interface in Qsys, for a design that has a MAC function, you have to manually connect the exposed ports or terminate them. И дальше нарисована таблица, что к чему подключить. Попробуйте.
  2. С констрейнами у меня пока трудновато, поэтому поставил те, что vadimuzzz предлагал, поправив названия портов: #RX path create_clock -name rx_virtualclk -period 40 create_clock -period 40 -name rxclk [get_ports {enet_rx_clk}] -waveform {20ns 40ns} set_clock_groups -exclusive -group {rxclk rx_virtualclk} set phy_tco_max 10 set phy_tco_min -10 set_input_delay -clock rx_virtualclk -max $phy_tco_max [get_ports {enet_rxd* enet_rx_dv}] set_input_delay -clock rx_virtualclk -min $phy_tco_min [get_ports {enet_rxd* enet_rx_dv}] #TX path set phy_tsu 10 set phy_th 0 set_output_delay -clock { txclk_virt } -rise -max $phy_tsu [get_ports {enet_txd* enet_tx_en}] set_output_delay -clock { txclk_virt } -rise -min -$phy_th [get_ports {enet_txd* enet_tx_en}] Знаю что это для GMII, поэтому не факт что я сделал корректно. Причем, как я понял, изначально в альтеровском примере констрейнов на RGMII нет, тем не менее SSS запускается. Или я не прав?
  3. Спасибо за совет, но к сожалению не помогло. Буду разбираться.
  4. День добрый! Имеется кит. Разбираюсь на нем с TSE. SSS для примера запустился без проблем. Теперь пытаюсь запустить драйвер, написанный уважаемым vadimuzzz, но все попытки тщетны. Железную часть беру из вышеприведенного примера без изменений. Доступ к регистрам PHY (8е1111) есть, значение после инициализации controlPHY = 1140, statusPHY = 796d. В прерывание приемника не попадаю, при передаче лампочка горит, но в wireshark тихо. Версия QII 13.1, на это и грешу: Возникала ли у кого-нибудь подобная проблема? P.S. хотя может быть все что угодно - я глубоко начинающий ПЛИСовод и до просветления еще ой как далеко.
×
×
  • Создать...