Перейти к содержанию
    

Передача данных по LVDS

Всем здравствуйте! Пытаюсь передать данные по LVDS с одной платы, на которую установлен Cyclone 3, на другую, на которой установлен тот же циклон. Платы одинаковые. На платах выведены контрольные точки с ПЛИС, на которые были напаяны два проводка, которые соединяют передатчик и приемник. Данные на передатчик приходят по LVDS, также как и клок с ADN2814. Дальше пытаюсь также по LVDS передать их на приемник. На приемнике данные появляются, но не могут засинхронизироваться. Клок с передатчика на приемник передать по LVDS не представляется возможным, так как установка ножки ПЛИСА в режим output для LVDS на данном циклоне возможна только на 1, 2, 5 и 6 банке, а контрольные точки в основном выведены с 3 и 4 банка. Единственная диф пара с 5 банка, которая есть на контрольных точках занята под данные. Пытался клок передать просто в режиме 2.5 В, но результата не увидел. Хочу услышать мнение - возможно ли это вообще: передать данные при таких условиях.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Какие скорости ... ?, согласование обеспечили ...? , Как сделали сдвиг по постоянке ... ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Какие скорости ... ?, согласование обеспечили ...? , Как сделали сдвиг по постоянке ... ?

 

270 Мбит/с, уточните, пожалуйста, что подразумеваете под "согласование обеспечили"? Тактовую частоту принмаю по LVDS с ADN2814, загоняю её на PLL и отдаю эту же частоту на контрольную точку, с которой идет проводок на вторую плату, там 2.5 В(заведомо не уверен, что такой вариант уместен).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Посмотрите в сторону ядра SDI (Serial Digital Interface), по-моему, самое то. И необходимости в клоковой линии нет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Посмотрите в сторону ядра SDI (Serial Digital Interface), по-моему, самое то.

ну, собственно говоря, мои данные - это и есть sdi сигнал. Мегафункцию sdi ставил, но почему-то безрезультатно (если правильно вас понял)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ну, собственно говоря, мои данные - это и есть sdi сигнал. Мегафункцию sdi ставил, но почему-то безрезультатно (если правильно вас понял)

Что значит безрезультатно, не ипользовал данную корку (когда-то работал с похожей Altera ASI), но на первый взгляд она очень даже подходит для Вашей задачи. Если правильно понимаю, приёмник и передатчик тут могут работать от разных источников тактирования.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Что значит безрезультатно, не ипользовал данную корку (когда-то работал с похожей Altera ASI), но на первый взгляд она очень даже подходит для Вашей задачи. Если правильно понимаю, приёмник и передатчик тут могут работать от разных источников тактирования.

Ну, ситуация такая: на плате имеются два BNC, на вход и на выход. При таком раскладе, ставлю SDI мегафункцию и все работает. Пошел дальше, взял вторую точно такую же плату. Соединил их двумя проводками для передачи данных. 3 проводок - клок. входные данные подаются через BNC на плате-передатчике, и хочу получить картинку на плате-приемнике с выходного BNC. Ставил мегафункцию SDI как на приемнике, так и на передатчике. Но картинки в результате не получил. Осцилом на выходном BNC вижу, что данные шлепает моя ПЛИС. Но синхронировать не может.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Всем спасибо, решено, поставил в параллель терминирующее сопротивление, и заработало

Изменено пользователем Ink1918

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Всем спасибо, решено, поставил в параллель терминирующее сопротивление, и заработало

По этому и спросил ... согласование обеспечили?

Успехов.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Всем спасибо, решено, поставил в параллель терминирующее сопротивление, и заработало

А разве внутри FPGA нельзя включить внутренний терминатор ?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...