Перейти к содержанию
    

Quartus - ошибка симуляции

при симуляции ошибка: "Fatal: (vsim-3807) Types do not match between component and entity for port "input"."

Что не так?

Забыл добавить, если вы создали тело testbench'a средствами quartus, то входные/выходные порты объявленные, как тип INTEGER в testbench'е объявляются как STD_LOGIC_VECTOR. Соответственно, когда Вы запускаете моделирование через Quartus то у вас автоматически появляется ошибка, т.к тип порта вашего модуля для тестирования не соответствует порту в testbench'е, и как следствие ошибка.

Изменено пользователем Flip-fl0p

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...