Перейти к содержанию
    

asya

Свой
  • Постов

    43
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о asya

  • Звание
    Участник
    Участник

Контакты

  • ICQ
    Array
  1. "The C Programming language" by Kernighan and Ritchie где достать на английском не подскажите, пожалуйста?
  2. Огромное спасибо. Все скачал. :) А сервер я не выключаю вообще.
  3. вот эти, пожалуйста. ftp://upload:[email protected]:2100/ огромное спасибо. если не получится на фтп тот, то на alexТОЧКАyakavenkaНАgmail.com
  4. А что подскажете почитать про Си именно для микроконтроллеров? (обычный Си основы знаю) Желательно на английском.
  5. огромное всем спасибо. в ближайшее время займусь.
  6. спасибо. глянул. пожалуй там даже слишком подробно все. :)
  7. Подскажите, пожалуйста, литературу по цифровым фильтрам. Интересуют общие данные. Например характеристики, типы, краткое описание. Если это все будет еще и с примерами расчетов на матлабе - вдвойне хорошо. Заранее огромное спасибо. PS Очень желательно в цифровом виде.
  8. да. это для меня новость. я не знал, что сигнал может быть интеджером... и это все дело синтезируемое?
  9. Des, вы написали range с краями в два миллиарда с копейками. Почему туда 50 миллионов не влазят я не пойму. Kas, входная частота - 50MHz. На глобальную тактовую цепь - а как это узнать? Временные ограничение - а как это сделать? :) В файле UCF создать такое ограничение? поэтапно - спасибо, так работает. Просто интересовало, почему не работает в один этап. andrew_b, а как делать арифметические операции с std_logic_vector? (я не издеваюсь - я действительно не знаю. могу догадаться только путем перевода в другой тип туда и обратно постоянно, но где тогда найти эти функции перевода?) oval, спасибо. буду искать книгу. genn, спасибо, по второму варианту уже сделал.
  10. Написал вот такой вот код. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity tst_clk is Port ( clock : in std_logic; drive : out std_logic); end tst_clk; architecture Behavioral of tst_clk is signal driveout1:std_logic; begin drive<=driveout1; process(clock) variable count:integer range 0 to 1_000_000:=0; begin if (clock='1') and (clock'event) then count:=count+1; end if; if count=1_000_000 then count:=0; driveout1<=not driveout1; end if; end process; end Behavioral; Делаю это для того, чтобы поделить частоту на 50 миллионов. (там написал 1 миллион, потому что проблема - читайте ниже). И вот если я там меняю 1 миллион на большее число (больше 1 048 ХХХ), то не работает. а вот так - работает. (правда слишком высокая частота - мне надо больше поделить. Если пытаюсь увеличить range - то даже так уже не работает. Пытаюсь убрать вообще range (знаю, что нехорошо, но ради теста) - тоже не работает. Пользуюсь Xilinx ISE 6.3 + spartan3.
  11. аааа. понятно. т.е. я написал все правильно - просто неумно это? а если подам разные сигналы, то он не выкинет?
  12. Учусь работать с компонентами. Задача: вставить две одинаковые компоненты. Т.е. например есть два входа и два выхода. первая компонента складывает оба входа и подает на первый выход. Вторая такая же компонента делает тоже самое, только на второй выход. Написал вот такой вот код: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity top is Port ( intop1 : in std_logic; intop2 : in std_logic; outtop1 : out std_logic; outtop2 : out std_logic); end top; architecture Behavioral of top is COMPONENT low1 PORT( in1 : IN std_logic; in2 : IN std_logic; out1 : OUT std_logic ); END COMPONENT; begin Inst_low1: low1 PORT MAP( in1 => intop1, in2 => intop2, out1 => outtop1); Inst_low2: low1 PORT MAP( in1 => intop1, in2 => intop2, out1 => outtop2); end Behavioral; Выдает warning, что inst_low1 и inst_low2 одинаковые, поэтому inst_low2 убран. НО работает так, как я и задумывал. Т.е. написал я неправильно, но синтезатор сам додумывается, что мне надо (и делает это правильно), но как мне узнать как надо? Пробывал в одном inst_low1 в port map прописывать два раза порт out1 направляя его на разные выходы - ругается. Пробывал убирать вообще inst_low2 - работает как и написано (с отключенным вторым выходом).
×
×
  • Создать...