DLR 0 30 мая, 2005 Опубликовано 30 мая, 2005 · Жалоба Как описать атрибут, чтобы FD был включенн в IOB, Как правильно присвоить pin-ы шине? Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
makc 192 30 мая, 2005 Опубликовано 30 мая, 2005 · Жалоба Как описать атрибут, чтобы FD был включенн в IOB, <{POST_SNAPBACK}> Объявляете атрибут: attribute iob: string; Далее, присваиваете значение атрибута для нужного элемента: attribute iob of {component_name|entity_name|label_name}: {component|entity|label} is “(true|false|auto)”; Как правильно присвоить pin-ы шине? Объявляете атрибут: attribute loc: string; Далее, присваиваете значение атрибута для нужного элемента: attribute loc of {signal_name|label_name}: {signal|label} is "location"; Например, attribute loc of cmd: signal is "P73 P47 P46 P45 P44"; если cmd - cmd: in std_logic_vector(4 downto 0); Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
andrew_b 14 30 мая, 2005 Опубликовано 30 мая, 2005 · Жалоба Как описать атрибут, чтобы FD был включенн в IOB, Глобально для всех, или только для входов, или только для выходов --- соответствующим опциями xst (или другого синтезатора) и map. Как правильно присвоить pin-ы шине? Задавать прямо в коде --- моветон. Для этого существует user constraints file (ucf). В .ucf: NET aaa(0) LOC = A1; Вид скобок определяется нужной опцией xst. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
DLR 0 30 мая, 2005 Опубликовано 30 мая, 2005 · Жалоба Большое спасибо! Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Genn 0 1 июня, 2005 Опубликовано 1 июня, 2005 · Жалоба Как описать атрибут, чтобы FD был включенн в IOB, Как правильно присвоить pin-ы шине? <{POST_SNAPBACK}> Вся информация по применяемым в проекте ограничениям (включая атрибуты в исходных текстах и составление UCF-файлов) приводится в документации: путь -> %Xilinx%\doc\usenglish\books\docs\cgd\cgd.pdf Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться