Позвольте вдогонку задать еще вопросик по SVA:
Как я понимаю, proprty возвращает в результате либо true, либо false. Что означает, если оно вообще ничего не возвращает?
Вот такой пример:
sequence s_eim_adv_b_ral;
first_match ( ##[SOME_MIN_DELAY:SOME_MAX_DELAY] (eim_ext_adv_b==0)&&(trans_end==1) ##1 (eim_ext_adv_b==1)&&(trans_end==0));
endsequence
property p_result;
@(aclk)
s_eim_adv_b_ral;
endproperty
property p_asrt_async_read;
@(aclk)
start_condition |-> p_result;
endproperty
start_condition успешно выполняется, sequence начинает отслеживаться (т.е. на дампах появляется active), состояние active держится SOME_MAX_DELAY+1 тактов, а затем тупо переходит в inactive - нет ни finished, ни failed. Никакие внешние условия не влияют. Используется Cadence NCVerilog 6.11-v152. Кто нибудь встречался с подобными проблемами?