Перейти к содержанию
    

ModelSim не моделирует altera_pll

Здравствуйте.

Долгое время работал с Quartus 11.0 и моделировал проекты с PLL в ModelSim без вопросов.

Сейчас перешел на Quartus Prime Lite Edition.

В Quartus Prime создал PLL, а ModelSim отказывается ее моделировать.

 

Стал разбираться, что поменялось. Я работаю на VHDL.

 

Quarus 11.0 генерировал VHDL файл, который содержал компонент altpll

ModelSim знает этот компонет, его описание лежит в QUARTUS_ROOTDIR/eda/sim_lib/altera_mf.vhd

 

Quartus Prime генерит уеву тыщу файлов.

Среди них есть VHDL файл который я заказывал, но он ссылается на verilog файл,

который содержит внутри компонент altera_pll.

ModelSim не знает кто такой altera_pll, о чем он честно пишет.

 

Встречался ли кто нибудь с этой проблемой ?

Как отмоделировать в ModelSim проект с PLL, который рожден Quartus Prime ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Quartus Prime генерит уеву тыщу файлов.

Среди них есть VHDL файл который я заказывал, но он ссылается на verilog файл,

который содержит внутри компонент altera_pll.

ModelSim не знает кто такой altera_pll, о чем он честно пишет.

Вот здесь непонятно, что имеется ввиду. Какую уеву тыщу? Что за компонент altera_pll? Можно подробнее?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Прикрепил ZIP архив с файлами, которые создал Quartus Prime при генерации PLL

PLL_A.vhd - файл верхнего уровня, его я и заказывал.

PLL_A.ZIP

 

А вот как его теперь в ModelSim Altera отмоделировать ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А вот как его теперь в ModelSim Altera отмоделировать ?

В модуле altera_mf как я понимаю altera_pll отсутствует, но в этой же библиотеке есть модуль altpll? Попробуйте вручную переименовать название модуля.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А вот как его теперь в ModelSim Altera отмоделировать ?

Компонент altera_pll есть в библиотеке altera_lnsim_ver

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Компонент altera_pll есть в библиотеке altera_lnsim_ver

Кстати да, должна эта библиотека подключаться, altpll для другого случая.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В одной из поддиректорий, которые нагенерил Quartus я нашел файл с расширением vho.

 

В моделсиме я указал вместо своего файла PLL_A.vhd который используется в проекте, файл PLL_A.vho и все заработало.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...