Перейти к содержанию
    

Господа, подскажите пожалуйста, где можно было бы взять

русские описание вышеобозначенных пакетов.

Цель – составление электронного конспекта лекций по курсу,

а также разработка методички и лабораторных работ.

 

Интересует электронные версии документов по QUARTUS

и MODELSIM. Естественно все это интересует на русском.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

С определенной натяжкой можно считать методическим материалом по Quartus выложенное эфовцами здесь.

 

P.S. В свое время я начал переводить на русский наиболее интересные с точки зрения проектировщика, т.е. самые неочевидные при изучении разделы Quartus Handbook, но работа застопорилась в связи с нехваткой времени :( . Желающие обрести всероссийскую известность (и владеющие при этом техническим английским) могут присоединяться к процессу :cheers: .

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А какие именно наиболее интересные с точки зрения проектировщика разделы Quartus Handbook? Можем начнем с оглашения списка того чего хотелось бы иметь.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Много их :wacko: , вот примерный перечень глав, которых хотелось иметь на русском языке:

 

Design Recommendations for Altera Devices

Recommended HDL Coding Styles

Tcl Scripting

Design Optimization for Altera Devices

Netlist Optimizations & Physical Synthesis

LogicLock Design Methodology

PowerPlay Power Analyzer

Quick Design Debugging Using SignalProbe

Design Debugging Using the SignalTap II Embedded Logic Analyzer

In-System Updating of Memory & Constants

Design Analysis & Engineering Change Management with Chip Editor

 

Перевод выделенной главы я уже сделал, дело осталось за малым :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Много их :wacko: , вот примерный перечень глав, которых хотелось иметь на русском языке:

 

Design Recommendations for Altera Devices

Recommended HDL Coding Styles

Tcl Scripting

Design Optimization for Altera Devices

Netlist Optimizations & Physical Synthesis

LogicLock Design Methodology

PowerPlay Power Analyzer

Quick Design Debugging Using SignalProbe

Design Debugging Using the SignalTap II Embedded Logic Analyzer

In-System Updating of Memory & Constants

Design Analysis & Engineering Change Management with Chip Editor

 

Перевод выделенной главы я уже сделал, дело осталось за малым  :)

 

Если есть общий интерес, может разберем по главам и переведем всем миром? Интересно сколько жаждущих, способных и меющих свободное время? :cranky:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если готов присоединиться к проекту, бери для перевода следующую главу "Recommended HDL Coding Styles".

 

По поводу желающих и т.д. могу сказать, что самое главное здесь - начать работу :a14: .

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если готов присоединиться к проекту, бери для перевода следующую главу "Recommended HDL Coding Styles".

 

По поводу желающих и т.д. могу сказать, что самое главное здесь - начать работу :a14: .

 

Времени не много, быстро не получится, но попробую взяться.

:huh:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяюсь к проекту и беру на себя "PowerPlay Power Analyzer" - занимался недавно по работе, поэтому мне проще будет перевести эту главу.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Тем временем возьмусь за перевод следующей свободной главы Tcl Scripting.

 

PavelS

vovic

:a14:

 

ALL

Пролетарии всех стран, присоединяйтесь! [к проекту].

 

P.S. Вывесил тему в шапку, пусть народ еще присоединяется к проекту.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я бы пошел по другому пути, лучше бы не в тупую переводить доки от альтеры и для альтеры, а на основе этих документов излагать материал более общего и концептуального характера.

 

Главный вопрос это цель перевода...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

aosp

Цель проекта состоит именно в переводе документации по Quartus для разработчиков, а не написание книги для начинающих по ПЛИС и HDL, каковых существует достаточно много.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Честно сказать, я не в восторге от этой идеи ;(

Альтра есть альтера и квартус у нее не вечен.

Потратить столько сил чтобы потом чего нить поменяли в квартусе и это все стали никому не нужным...

 

Ну не знаю, не знаю. У меня нет столько свободного времени.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Честно сказать, я не в восторге от этой идеи ;(

Альтра есть альтера и квартус у нее не вечен.

Потратить столько сил чтобы потом чего нить поменяли в квартусе и это все стали никому не нужным...

 

Ну не знаю, не знаю. У меня нет столько свободного времени.

Квартус конечно не вечен. Но Вы наверняка согласитесь с тем, что документации на русском языке по теме исчезающе мало! А представители Алтеры (ЭФО и Гамма), которые кровно заинтересованы в продвижении этой марки, не очень-то и разбежались обеспечить переводы.

А вообще, часть разделов имеют характер общего применения.

Поэтому, я считаю, это будет полезно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да, согласен, документации на русском мало.

Но! Переводом справочников сильно ситуацию не поправишь.

Ну переведем мы этот квартус, а дальше?

 

Я бы предложил переводимый материал брать в качестве опорного,

а дальше уже писать каждый по своему направлению, с критикой,

обсуждениями, спорами и т.д.

Чтобы в конце концов появился некий ананалитическо–практический материал, которому бы можно было и учится и применять живьем на практике.

 

Вот некоторые материалы из моих лекций, не все и не всегда здесь писал я сам, но работа проделана и ею пользуются мои студенты, которых я учу не только квартусу и альтере.

 

Да, вот еще, кое что.

 

И еще...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я готов обсуждать и корректировать материал.

С предложениями в мыло...

 

Сейчас пытаюсь писать про верификацию и тестбенчи.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...