Перейти к содержанию
    

anatol1983

Свой
  • Постов

    96
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о anatol1983

  • Звание
    Частый гость
    Частый гость
  • День рождения 30.08.1983

Контакты

  • Сайт
    Array
  • ICQ
    Array

Информация

  • Город
    Array

Посетители профиля

1 222 просмотра профиля
  1. а у меня следуюющий вопрос: у данного транзистора (NTD30N02) есть аналоги Менять нужно ВСЕ кондеры, а не один. Или проверять их на ESR. На ядро стоят ДВА кондера, на входном фильтре понижающего конвертера (DC-DC) их тоже два. Если текстолит в районе транзисторов цвет изменил от перегрева - это "нормально" для данной платы, т. е. не факт, что транзисторы вышли из строя. а зачем менять все если вздулся один только
  2. Это не стабилитрон, а транзистор NTD30N02 от ON Semiconductor. Еще конденсатор рядом с ним, похоже, дохлый. У меня такая же видеокарта. Уже сменил все электролиты, т. к. умерли они на игре GTA-SA. Особенно обрати внимание на те, которые ниже по твоему рисунку, около радиатора GPU (питание GPU). GPU требует очень качественного питания, иначе будут артефакты на изображении. На памяти (в другом "районе" платы) тоже замени. Короче меняй все, особенно если заметно, что корпус сверху вспучило. Указанные транзисторы не спеши менять, например у меня они оказались живы... Эта проблема проявлялась на всех указанных видеокартах данного типа. Сервисцентры в свое время просто завалили. В Инете есть инфа на этот счет... А видюха хорошая - все еще тянет, хотя и трудновато ей уже... Конденсатор перепоял таже фигня это трпнзистор будь он не ладен
  3. Это не стабилитрон, а транзистор NTD30N02 от ON Semiconductor. Еще конденсатор рядом с ним, похоже, дохлый. Про кандёр я знаю. Сначало на него грешил, а приглиделся смотрю и транзистор. Кстате никто ни знает за что он отвечает
  4. на видеокарте GeForce4 ti4200 noname сгорел стабилитрон, а марку его ну никак ни могу определить по надписям на нём Если кто знаеть что это за зверь подскажите пожалуйста? ---------------------------------------- какие конкретно показаны на фото
  5. ГОСПОДА!!! А как на счёт спецификации Memory Stick, желательно русской?
  6. Конецно офтопик, но всё же. А как эти микросхемы на принципиальных схемах оформлять (ну DD или DA, как функцию этого устройства написать)
  7. Точки с запятой там не должно быть - это опечатка, но не надо строго придераться к автору (я встречал в книги в которых были ТАКИЕ опечатки, что просто жуть), к тому же на орфографические ошибки всегда укажет моделятор или синтезатор :) Два process'а здесь надо расматривать как два самостоятельных описания, что касается сигнала ena, включай его в список или не включай результат будет один и тот же ИМХО. Описывается синхронный элемент, работающий по фронту CLK. В принципе синтезируемая схема зависит от выбраного синтезатора. А вообще советую Вам ознакомиться с готовыми синтезируемыми конструкиями VHDL. Найти их можно в описании синтезаторов (например, в описании синтезатора XST фирмы XILINX есть), потом в ISE есть синтезируемые шаблоны, в книге П.Н.Бибило "Синтез логических схем с использованием языка VHDL" тоже было ну и в др.
  8. <{POST_SNAPBACK}> ...ну тут зависит от пристрастий программиста. Разница между описаниями приведёнными выше заключается в процессе моделирования (об моделировании VHDL-описаний см. ссылку, которую я давал выше) , а схема одна и таже :)
  9. хмммм. теперь совсем запутался. т.е. внутри процесса не последовательное выполнение? а снаружи последовательное? поясните, плз. <{POST_SNAPBACK}> Ну что тут не понятного? VHDL описание состоит из двух частей: 1)интерфейсная часть; 2)архитектурная. В интерфейсной оприсываются порты, константы и тд и тп. В архитектурной части описывается поведение схемы. Сам VHDL-язык параллельного програмирования. Все операторы языка VHDL делятся на последовательные и параллельные, т.е каждый параллельный оператор выполняется отдельно. Последовательные опрераторы должны использоваться только в операторе process (или в процедурах и функциях). Сам же он (process) является параллельным оператором. Т.е. если в описании есть оператор process и к примеру оператор назначения (<=), то исполнятся они будут параллельно, а вот операторы process'а -последовательно Одну и туже схему можно описать как при помощи process так и при помощи <=. В Вашем случае, комб. логику можно описать так (логическое И): --вариант с использованием <= library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity qwqq is Port ( a,b : in std_logic;c : out std_logic); end qwqq; architecture Behavioral of qwqq is begin c<=a and b;--параллельный оператор end; --вариант с использованием process library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity qwqq is Port ( a,b : in std_logic;c : out std_logic); end qwqq; process(a,b)--параллельный оператор process реагирующий на изменение портов a и bk begin c<=a and b;--последовательный оператор end process; end Behavioral; оба этих описания приведут к синтезу элемента "логическое И" с двумя входами
  10. А как этим делом можно клок генерировать? <{POST_SNAPBACK}> в архитектурном теле тестбенча описывается сигнал CLK и ему присваивается начальное значение и в инвертируется через определённое время: architecture Behavioral of TestBentch is ....... signal clk:std_logic:='0'; ....... begin ....... clk<=not clk after 30 ns; ....... а вообще про язык VHDL можно почитать здесь http://www.kvantn.com.ua/resourse/All/VHDL/VHDL_context.html . Здесь очень хорошо рассказано о VHDL в том числе и о тестбенчах
  11. ГОСПОДА АДМИНИСТРАТОРЫ, МОДЕРАТОРЫ и все все кто причастен к этому проекту хочу сказать Вам: "ВЫ ДЕЛАЕТЕ ШИКАРНЫЙ ПРОЕКТ. МОЖНО СКАЗАТЬ НА НЁМ Я ПОДЧЕРПНУЛ МНОГО НОВОГО И ИНТЕРЕСНОГО. ОГРОМНОЕ СПАСИБО ВАМ ЗА ВАШ ТРУД. ОН БЕСЦЕНЕН!!!!" :)
  12. В конф памяти определено 6 BAR, т.е.одно устройство (устройство с одной функцией) можно разместить только в 6 портах IO либо в 6 ячейках памяти. Если нужно больше то необходимо делать на устройстве две и более функций
  13. Насколько я понял из описаний ограничен WebPACK ISE, а ModelSim'у по фигу какое описание моделировать
  14. Я надеюсь за такие деньги они диск с ISE прилагают?
×
×
  • Создать...