Перейти к содержанию
    

Инициализация ROM в MAX10 compact features

Вопрос, конечно, глупый, но...

Итак, делаем соответствующей мегафункцией ROM внутри MAX 10 - все просто, вопросов нет. Вот только для "MAX 10 compact features" не поддерживается memory initialization... Соответственно, каким образом внутри такого MAX 10 можно получить ROM с нужным содержимым ???

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Как поясняли спецы из ЭФО, нужно задействовать UFM и On-Chip Flash.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Данный guide я первым делом прочитал, но вот реальной пользы для моей задачи извлечь не смог :(

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Решение такое:

В проект ставим мегафункцию "Altera on-chip flash" для возможности доступа к UFM

В мегафункции инициализируем UFM необходимым вам массивом.

В проект ставим RAM, пишем процедуру копирования из UFM в RAM.

При программировании Pof файла в FPGA не забываем поставить крыжик на запись UFM.

В итоге имеем проинициализированную RAM.

Собственно всё.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Решение такое:

Вот спасибо ! Вроде принципиально подход полностью понятен. Осталось реализовать в деталях - никогда не делал ничего подобного :(

А нет случайно какой-нибудь мегафункции еще и для копирования ? :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

неужели после такого

 

reg    [DATA_WIDTH-1:0]    RomReg[0:(2**ADDR_WIDTH)-1];

initial     
begin
    $readmemh(INIT_FILE_NAME, RomReg);
end


always@(posedge clk)
begin
    data <= RomReg[addr];
end

 

в проекте не появится ром самостоятельно инициализированный при конфигурации ПЛИС? Вместо загрузки из файла можно просто руками значения прописать.

А что появится тогда? Ведь все конструкции поддерживаются синтезатором альтеры?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А что появится тогда? Ведь все конструкции поддерживаются синтезатором альтеры?

Я сам офигел... Сделал проектик на плате разработки, а потом спроектировал свою плату, в которой использовал MAX10 попроще. Хорошо, что до заказа платы попробовал перекомпилировать проект под свой MAX 10, поэтому засаду (отсутствие возможности прямой инициализации памяти из конфигурационной памяти) обнаружил вовремя.

Если честно, то не понимаю - неужели эта функциональность берет столько ресурсов, что на ней можно сэкономить ???

 

Если я правильно понял Ваш пример, то вроде здесь для ROM используется не блоки RAM, а просто основные ресурсы FPGA ? Если так, то должно проинициализировать, но меня такое решение не устраивает...

Изменено пользователем justontime

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если я правильно понял Ваш пример, то вроде здесь для ROM используется не блоки RAM, а просто основные ресурсы FPGA ? Если так, то должно проинициализировать, но меня такое решение не устраивает...

Синтезатор понимает эту конструкцию и использует блок памяти, если не запрещено.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

неужели после такого

reg    [DATA_WIDTH-1:0]    RomReg[0:(2**ADDR_WIDTH)-1];
.............
поскипано

end

 

в проекте не появится ром самостоятельно инициализированный при конфигурации ПЛИС? Вместо загрузки из файла можно просто руками значения прописать.

А что появится тогда? Ведь все конструкции поддерживаются синтезатором альтеры?

 

Не путайте синтезируемые и моделируемые (тестовые) конструкции.

Просто попытайтесь ответить на вопрос:

Как данные из файла физически попадут в ROM?

В описании к микросхеме, примененной топикстартером, сказано "No Memory initialization featuring".

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если я правильно понял Ваш пример, то вроде здесь для ROM используется не блоки RAM, а просто основные ресурсы FPGA ?

как синтезатор посчитает лучше, маленькие ROM могут и в регистры лечь, но обычно в память пихает.

 

 

Не путайте синтезируемые и моделируемые (тестовые) конструкции.

А вы не путаете, коль такое спрашиваете?

 

Беру описание MAX 10 и читаю

Initial Power-Up Values of Embedded Memory Blocks

By default, the Quartus Prime software initializes the RAM cells to zero unless you

specify a .mif.

All memory blocks support initialization with a .mif. You can create .mif files in the

Quartus Prime software and specify their use with the RAM IP when you instantiate a

memory in your design. Even if a memory is preinitialized (for example, using a .mif),

it still powers up with its output cleared. Only the subsequent read after power up

outputs the preinitialized values.

Only the following MAX 10 configuration modes support memory initialization:

• Single Compressed Image with Memory Initialization

• Single Uncompressed Image with Memory Initialization

Note: The memory initialization feature is supported in MAX 10 Flash and Analog feature

options only

 

Я трактую данную часть описания так, что в режиме with Memory Initialization память все же может быть проинициализированна при загрузке.

 

Это отвечает и на остальные вопросы.

Как данные из файла физически попадут в ROM?

Те которые надо, они будут запакованы в миф файл, добавлены в прошивку и загружены в память

 

Если честно, то не понимаю - неужели эта функциональность берет столько ресурсов, что на ней можно сэкономить ???

Альтера дала сделать этот выбор вам. Можете убить часть флешки под данные для инициализации памяти, а можете взять себе под UFM или вторую прошивку.

 

 

 

 

 

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я трактую данную часть описания так, что в режиме with Memory Initialization память все же может быть проинициализированна при загрузке.

Там же еще написано, что

Note: The memory initialization feature is supported in MAX 10 Flash and Analog feature options only

А у меня Compact feature, где инициализация не поддерживается. Отсюда как раз и весь сыр-бор разгорелся...

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ну тоды ой, тогда явно указано никакой инициализации. И тогда

 

Even if a memory is preinitialized (for example, using a .mif), it still powers up with its output cleared.

 

А что компакт фича сильно дешевле? В чем бонус?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А что компакт фича сильно дешевле? В чем бонус?

Я уже вроде писал, что не понимаю, что они могли сэкономить именно на выбрасывании инициализации. Но вообще для устройства достаточного для меня объема (минимальная конфигурация) и в нужном корпусе разница в стоимости - больше, чем в 2 раза.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...