Перейти к содержанию
    

Core Generator for CPLD

Уважаемый All.

Установив у себя AHDLv6.2 и ISE 6.2 и запустив Core Generator вдруг увидел, что последний позволяет в качестве целевого семейства выбрать только Spartan2,3 и Virtex-ы. PLD-шек в списке нет. А как же сгенерить корку для XC9500XL???

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пока - достаточно простые, типа регистров на N бит или мультиплексора нужной конфигурации. Я, конечно, понимаю, что такие вещи можно без проблем нарисовать в схематике или блоком HDL, но сейчас меня интересует вопрос в принципе:

1) либо у меня что-то встало криво, либо

2) я по своему малому разумению не могу найти имеющийся CoreGenerator for CPLD, либо

3) его действительно нет в составе ISE.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пока - достаточно простые, типа регистров на N бит или мультиплексора нужной конфигурации. Я, конечно, понимаю, что такие вещи можно без проблем нарисовать в схематике или блоком HDL, но сейчас меня интересует вопрос в принципе:

1) либо у меня что-то встало криво, либо

2) я по своему малому разумению не могу найти имеющийся CoreGenerator for CPLD, либо

3) его действительно нет в составе ISE.

Его там и нет. Не те объемы чтобы корки делать,пишите сами.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В Active-HDL есть своя встроенная утилита IP CORE Generator. Вызывается через "верхнее" меню Tools. Способна генерить не только базовые функции. А в ISE уже давно нет поддержки CORE для CPLD.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В Active-HDL есть своя встроенная утилита IP CORE Generator. Вызывается через "верхнее" меню Tools. Способна генерить не только базовые функции. А в ISE уже давно нет поддержки CORE для CPLD.

А разве она когда нибудь в ISE была? :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...