Перейти к содержанию
    

Cadence-free

Свой
  • Постов

    98
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о Cadence-free

  • Звание
    Частый гость
    Частый гость
  • День рождения 01.09.1981

Контакты

  • Сайт
    Array
  • ICQ
    Array

Информация

  • Город
    Array
  1. ну вот это как раз и не верно. сложностей в отладке для больших проектов будет не больше чем при использовании verilog
  2. имею windows 2000, modelsim 6.0 se с сайта, при добавлении сигнала для просмотра в waveform все вылетает-моделсим просто закрывает окно. Дабавлял при помощи меню и при помощи командной строки
  3. Почитав мнения людей повнимательней решил остановиться на: ActiveHDL + Xilinx Ise. Таким образом в ближайшее время (2-3 месяца) придется освоить эти 2 продукта. начал с ActiveHdl. Создал простенькую схему, написал тестбенч, выполнил функциональную верификацию, выполнил синтез... и все. Далее нужно выыполнить опять моделирование, вылетает ошибка # ELAB2: Fatal Error: ELAB2_0036 Unresolved hierarchical reference to "glbl.GSR" from module "testbench.dut.sum_0" (module not found). понятно, что нужно как то glbl.v прикрутить , но как???
  4. Большое спасибо за исчерпывающие ответы. Пойду ковыряться. А вот еще вопрос. Литературки на русском ни у кого нет? По самим плисам и ISE.
  5. Начал изучать Xilinx. Пока решил использовать ISE + modelsim. Проекты все будут писаться на verilog. Так вот возникли вопросы: 1) Имеет ли смысл использовать Active HDL. Если да то какие появяться плюсы при работе с Xilinx. 2) Для моделирования в моделсим требуется библиотека xilinxcoregen_lib. Где ее взять? Или я не правильно что-то делаю? 3) Есть ли возможность использовать в XST констрейны созданые для синопсиского дс компайлера? Если да то как? Если нет то наверно придется использовать внешний синтезатор. Какой из них (симплифай и леонардо) подерживают констрейны.
  6. Модераторы или админы просьба перенести эту ветку в форум "вопросы системного проектирования"
  7. Написать структурную модель на SystemC нет проблем, поведеньческую тем более. Но кроме написание, ее нужно еще и в железо превратить. Вот тут у systemC проблемы.А языком полноценном HDL ему не стать до тех пор пока не будет эффективного синтезатора. На данный момент по возможности моделирования SystemC => HDL (verilog, vhdl)
  8. Все ниже написаное мое личное мнение: SystemC не предназначается для получения выигрыша при синтезе (что-то как-то коряво сказал). Язык systemc как раз наоборот был предназначен для повышения уровня абстракции. Это мы в России ведем основные разработки на ПЛИСАХ, микроконтроллерах. А за бугром янки более продвинуты: разрабатывают и клепают системы на кристалле только в путь. А попробуйте-ка сейчас на том, софте который Вы используете, хотя бы разработать системку (тот же мобильник): процессор + софт+ логика+память+куча интерфейсов да еще и в короткие сроки. Как это все вместе моделировать? Сколько времени займет? А еще бы и быстродействие оценить было бы неплохо, до того как начали разрабатывать софт и логику. Да еще бы и с процессором определиться. Ведь проц стоит порядка 100-500 тысяч уе (имеется ввиду возможность использования топологии готового процессора ARM в своих схемах). На данный момент большинство стандартных шин имеют описания на уровне транзакций на SystemC. Вот Вам и сокрашение времени моделирования и возможность оценки производительности системы. А по поводу синтеза: во-первых никто не заставляет Вас писать RTL код на SystemC, пишите на Verilog и VHDL. А во-вторых: синтезаторы для SystemC есть, вот только пока не настолько продвинутые как для verilog и vhdl. Возможность использования дельта задержек-аналогична VHDL и те же списки чувствительности и те же типы данных.
  9. тут уже была тема по поводу SystemC , правда она загнулась. Лично мое мнение SystemC займет свою нишу и будет ПОТИХОНЬКУ теснить verilog и vhdl. На данный момент все плюсы языка (если такие имеются) сводит на нет один факт-отсутсвие эффективного синтезатора. Единственный синтезатор-Синопсисивский SystemC компайлер (вроде сейчас встраивается в DC). К сожалению нет достаточного времени для глубокого изучения языка. Хотелось бы конечно попробовать помоделировать процессор+софт+память+логика на уровне транзакций. После этого можно будет сделать соответсвующие выводы.
  10. Просадка по 12 вольтам идет из-за хренового блока питания. От 12 вольт питаются проц и винты
  11. На тутульной странице сайта www.electronix.ru отображаются названия форумов в том числе и из разделов доступных только мемберам. А названия частенько содержат названия продуктов. Может не отображать на этой странице такие форумы?
  12. в настоящий момент нет достаточного времени для изучения этого вопроса. Проект создания прототипа, если и начнется то только в следуещем году.
  13. все правильно, вот только созданием прототипов у нас никто никогда не занимался. Да еще проблема в том, наши ребята разрабатывают кристалл, содержащий в себе готовую топологию процессора. Поэтому для создания прототипа схемы придется использовать готовый закорпусированный проц+ память+ fpga (одну или две со всей логикой) , а значит придется делать плату, чем тоже никто не занимался
  14. по началу использовал просто Microsoft Visual C++ сейчас кроме перечисленных можно успользовать: Nc-Sim ot cadence (существует и под Linux на персоналке) Synopsys CoCentric Studio (сейчас ей и пользуюсь-удобно и наглядно, заточен как раз под systemc)
×
×
  • Создать...