Перейти к содержанию
    

Ink1918

Участник
  • Постов

    15
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о Ink1918

  • Звание
    Участник
    Участник
  • День рождения 30.05.1994

Информация

  • Город
    Array

Посетители профиля

681 просмотр профиля
  1. Делать-есть кому. Просто нет опыта работы с SoC, а так как вещь эта недешевая да и с покупкой не все так просто, хотелось бы получить какой-то совет или помощь. По поводу комментариев относительно ацп: приношу извинения за некорректную формулировку. Спасибо. Ваши комментарии относительно телеги впереди лошади тоже совершенно правильные. За совет-ещё раз спасибо. Постараюсь посмотреть на задачу более детально.
  2. Встал вопрос о выборе элементной базы для реализации устройства. Было предложено воспользоваться всеми прелестями cyclone 5 SoC. Но насколько это действительно необходимо-решить не можем. Кто может что посоветовать. Идея следующая: обработка данных с аналоговых датчиков с помощью достаточно медленного ацп: ads1271ipw. 4 ацп устанавливаются последовательно(гирлянда). Все это подтягивается к fpga части. Дальше все это падает на бпф и накапливается в кольцевой буфер. По запросу hps-часть забирает данные и закидывает это все в scada, на hps части предполагается Debian с openScada. В связи с тем, что ацп медленный и возникают сомнения в необходимости использования SoC. А необходимости в высокоскоростных ацп нет. Есть ли смысл смысл использовать cyclone 5 soc или аналогичный продукт Xilinx или не стоит мудрить и просто взять микропроцессор, например atsama5d , и воять все это дело там??? Подскажите, заранее огромное спасибо
  3. ну, здесь конкретно я просто привел пример для наглядности, но в реальной жизни значение offseta может достигать большого значения, и мультиплексор мне видится не самым оптимальным решением, но, возможно, я и не прав) спасибо, интересное решение)
  4. Вопрос простой, не ссудите строго за глупость. У модуля имеется input offset. Возможно ли как-то использовать его значение в операторе конкатенации, то есть примерно следующее: parameter DATA = 64; input offset; output [DATA-1:0] a; wire [DATA-1:0] b; wire [DATA-1:0] c; assign a = {b[offset*8-1:0], c[ 4'd8 * ( 4'd8 - offset) -1:0]}; Понятно, что так делать нельзя. Есть ли какие-то альтернативы или вариантов нет???
  5. Всем здравствуйте!!! Пытаюсь разобраться с констрейнами и TQ TA. Изучил труды des00 timequest для чайников. С готовыми примерами как всегда все понятно, но как только дело дошло до собственных примеров - начался ступор. Пытаюсь написать sdc-файл для мегафункции SDI. В документашке на данную IP altera предлагает следующее: derive_clock_uncertainty create_clock -name {rx_sd_refclk_135} -period 7.407 -waveform { 0.000 3.703 } [get_ports {rx_sd_refclk_135}] create_clock -name {rx_sd_refclk_337} -period 2.967 -waveform { 0.000 1.484 } [get_ports {rx_sd_refclk_337}] create_clock -name {rx_sd_refclk_337_90deg} -period 2.967 -waveform { 0.000 1.484 } [get_ports {rx_sd_refclk_337_90deg}] create_clock -name {tx_sd_refclk_270} -period 3.703 -waveform { 0.000 1.852 } [get_ports {tx_sd_refclk_270}] create_clock -name {tx_pclk} -period 37.037 -waveform { 0.000 18.519 } [get_ports {tx_pclk}] set_max_delay -from [get_clocks {rx_sd_refclk_337}] -to [get_clocks {rx_sd_refclk_135}] 4.430 set_min_delay -from [get_clocks {rx_sd_refclk_337}] -to [get_clocks {rx_sd_refclk_135}] 0.000 В моей же ситуации клоки рождаются с помощью PLL. Так вот, вопрос следующий: как описать клоки от PLL до мегафункции? Я пытался сделать следующим образом: #******************* # Time Information * #******************* derive_pll_clocks derive_clock_uncertainty set clk_270MHz pll1|altpll_component|auto_generated|pll1|clk[0] set clk_135MHz pll1|altpll_component|auto_generated|pll1|clk[1] set clk_337MHz pll2|altpll_component|auto_generated|pll1|clk[0] set clk_390ph pll2|altpll_component|auto_generated|pll1|clk[1] #*************** # Create Clock * #*************** create_clock -name {clk1} -period 50MHz [get_ports {clk1}] create_clock -name {clk2} -period 27MHz [get_ports {clk2}] #************************* # Create Generated Clock * #************************* create_generated_clock -name {c337} -source $clk_337MHz create_generated_clock -name {c135} -source $clk_135MHz create_generated_clock -name {c390} -source $clk_390ph create_generated_clock -name {c270} -source $clk_270MHz #******************** # Set Maximum Delay * #******************** set_max_delay -from [get_clocks {c337}] -to [get_clocks {c135}] 4.430 #******************** # Set Minimum Delay * #******************** set_min_delay -from [get_clocks {c337}] -to [get_clocks {c135}] 0.000 Максимально пытался решить вопрос сам, но уже понимаю, что без чужой помощи не обойдусь. Заранее прошу прощения, если написал глупость, и сразу всем спасибо за будущие ответы.
  6. Вариант с ethernet рассматривается, но насколько реализация сложная, не подскажите? Опыт программирования ПЛИС колоссальным не назовешь, с 0 очень сложно будет Ethernet поднять?
  7. Всем привет! Вопрос общего характера, скорее даже желание получить совет от более опытных людей. Есть тестовая задача, которая не предполагает жестких дедлайнов и требований. Хочется сконектить ПЛИС( либо 3 cyclone, либо 4) с ПК для обмена данными между ними. Какой лучше всего использовать для данной задачи интерфейс? Скорости все такие тоже не совсем минимальные должны быть, что-то среднее.
  8. Всем спасибо, решено, поставил в параллель терминирующее сопротивление, и заработало
  9. Ну, ситуация такая: на плате имеются два BNC, на вход и на выход. При таком раскладе, ставлю SDI мегафункцию и все работает. Пошел дальше, взял вторую точно такую же плату. Соединил их двумя проводками для передачи данных. 3 проводок - клок. входные данные подаются через BNC на плате-передатчике, и хочу получить картинку на плате-приемнике с выходного BNC. Ставил мегафункцию SDI как на приемнике, так и на передатчике. Но картинки в результате не получил. Осцилом на выходном BNC вижу, что данные шлепает моя ПЛИС. Но синхронировать не может.
  10. ну, собственно говоря, мои данные - это и есть sdi сигнал. Мегафункцию sdi ставил, но почему-то безрезультатно (если правильно вас понял)
  11. 270 Мбит/с, уточните, пожалуйста, что подразумеваете под "согласование обеспечили"? Тактовую частоту принмаю по LVDS с ADN2814, загоняю её на PLL и отдаю эту же частоту на контрольную точку, с которой идет проводок на вторую плату, там 2.5 В(заведомо не уверен, что такой вариант уместен).
  12. Всем здравствуйте! Пытаюсь передать данные по LVDS с одной платы, на которую установлен Cyclone 3, на другую, на которой установлен тот же циклон. Платы одинаковые. На платах выведены контрольные точки с ПЛИС, на которые были напаяны два проводка, которые соединяют передатчик и приемник. Данные на передатчик приходят по LVDS, также как и клок с ADN2814. Дальше пытаюсь также по LVDS передать их на приемник. На приемнике данные появляются, но не могут засинхронизироваться. Клок с передатчика на приемник передать по LVDS не представляется возможным, так как установка ножки ПЛИСА в режим output для LVDS на данном циклоне возможна только на 1, 2, 5 и 6 банке, а контрольные точки в основном выведены с 3 и 4 банка. Единственная диф пара с 5 банка, которая есть на контрольных точках занята под данные. Пытался клок передать просто в режиме 2.5 В, но результата не увидел. Хочу услышать мнение - возможно ли это вообще: передать данные при таких условиях.
×
×
  • Создать...