Перейти к содержанию
    

думаю ему биты и в источнике, и в приемнике нужны.

ну и массив данных надо объявить.

А еще там есть какая-то фигня с преобразованием векторов в число при выборе из массива и подобные приколы круто типизированного VHDL...

 

 

Прошу прощения, но не я не совсем понял, в чём смысл этой функции, относительно задачи..

в том что делать надо все на проце. а CPLD использовать по минимуму как расширитель числа ног проца и все. На большее ее все равно не хватит.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

думаю ему биты и в источнике, и в приемнике нужны.

ну и массив данных надо объявить.

А еще там есть какая-то фигня с преобразованием векторов в число при выборе из массива и подобные приколы круто типизированного VHDL...

Спасибо! Попробую что-нибудь...

в том что делать надо все на проце. а CPLD использовать по минимуму как расширитель числа ног проца и все. На большее ее все равно не хватит.

Хм... Сейчас он у меня успешно считывает данные с 80 порта и выводит на семисегментные индикаторы. Но только теперь мне нужно эти данные не выводить на индикаторы, а передать в память. А роль МК - считать их и обработать и т.д....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

После того как он их считал и вывел сколько еще места осталось в процентах?

Или с памятью это альтернатива?

А МК чтобы их в какой-то усб преобразовать что ли?

Тогда лучше память подключить к МК, читать данные с 80 порта в МК. А тот пусть сам складывает в память или отдает кому-то дальше. Учитывая что память параллельная, может быть подключить через ПЛИС, но без арбитра и логики, пусть МК всем рулит.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

После того как он их считал и вывел сколько еще места осталось в процентах?

Или с памятью это альтернатива?

А МК чтобы их в какой-то усб преобразовать что ли?

Тогда лучше память подключить к МК, читать данные с 80 порта в МК. А тот пусть сам складывает в память или отдает кому-то дальше. Учитывая что память параллельная, может быть подключить через ПЛИС, но без арбитра и логики, пусть МК всем рулит.

Точно не помню, но больше 50%. Зачем вообще нужна память - шина, с которой берутся данные работает на частоте 33МГц, а МК на частоте 16МГц. Поэтому память используется в качестве буфера - в неё помещаются на высокой частоте данные от ПЛИСа, а МК уже на своей частоте эти данные извлекает и в зависимости от полученных данных выводит информацию на дисплей.

Но здесь обязательно считывать данные с шины именно в ПЛИС.

 

Кстати, можно ли совместить файл на языке VHDL и файл схем и залить всё это в ПЛИС? :laughing:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

можно любые комбинации, можно даже Verilog в VHDL засунуть

 

неужели ПЛИС+дохлый контроллер, дороже какого-либо STM маленького, который сможет на хотя бы 72 МГц работать?

 

ну можно только пожелать вам удачи:)

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

можно любые комбинации, можно даже Verilog в VHDL засунуть

 

неужели ПЛИС+дохлый контроллер, дороже какого-либо STM маленького, который сможет на хотя бы 72 МГц работать?

 

ну можно только пожелать вам удачи:)

:) Я бы с радостью взял что-то другое, но это моя дипломная работа. Выбора нет. :biggrin: Поэтому и нужна помощь, так как сроки очень жмут.))

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а руководитель что?

А что диплом... диплом бумажка, напишите что все влезло:) и слайдики покрасивше...

 

 

берете ваш 1 проект, только вместо вывода на 7 сегментник пихаете в память (подняли we сигнал, данные на шину, адрес увеличиваете счетчиком).

Проц ногу какую-либо опустил в 0, вы с шины в память пихаете.

Проц это ногу поднял, вы из памяти в проц. пихаете.

Ну или плис просто тупо в едином рабочем цикле из шины в память из памяти в проц, и по кругу.

 

Более сложные арбитры думаю уже не влезут.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а руководитель что?

"Делай быстрее..." :biggrin:

А что диплом... диплом бумажка, напишите что все влезло:) и слайдики покрасивше...

Да, но устройство должно работать. :)

 

берете ваш 1 проект, только вместо вывода на 7 сегментник пихаете в память (подняли we сигнал, данные на шину, адрес увеличиваете счетчиком).

Проц ногу какую-либо опустил в 0, вы с шины в память пихаете.

Проц это ногу поднял, вы из памяти в проц. пихаете.

Ну или плис просто тупо в едином рабочем цикле из шины в память из памяти в проц, и по кругу.

 

Более сложные арбитры думаю уже не влезут.

Так, в принципе, на данный момент и пробую реализовать. Только возникают некоторые непонятные сложности. Например, с той ошибкой, которую писал выше.

Спасибо! :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да, но устройство должно работать. :)

или имитировать работу. ;-)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...