Перейти к содержанию
    

interface в SystemVerilog

Добрый день.

 

Возможно ли обращение к внутренним регистрам DUT сквозь иерархию?

 

Например.

В теле описания interface connect обращаться к регистру ff_a. Из файла TestBench обращение выглядело бы следующим образом: DUT.COUNTER.ff_a

 

Возможно ли нечто подобное реализовать из interface connect?

 

 

image.jpg

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В SystemC подобное делал с помощью функций типа observe_foreign_signal. Возможно, существует что-то аналогичное и для SV?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я в таких случаях создаю интерфейс debug_probe_if. В тестбенче подключаю его выходы через иерархию, и соответственно к тестам как обычный интерфейс.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я в таких случаях создаю интерфейс debug_probe_if. В тестбенче подключаю его выходы через иерархию, и соответственно к тестам как обычный интерфейс.

А почему бы и нет. Спасибо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...