Перейти к содержанию
    

nvpwybxd

Новичок
  • Постов

    3
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный
  1. Боже мой как здесь редактировать тему, это просто ужас!
  2. Помогите изменить регистр сдвига. Нужно сделать в левую сторону Library ieee; Use ieee.std_logic_1164.all; Entity shift is Port(C, SI : in std_logic; SO : out std_logic); End shift; Architecture archi of shift is Signal tmp: std_logic_vector(6 downto 0); Begin Process (C) Begin If (c'event and C='1') then For i in 0 to 5 loop Tmp(i+1) <= tmp(i); End loop; Tmp(0) <= SI; End if; End process; SO <= tmp(6); End archi;
  3. Здравствуйте, я студент который далеко от этой теме, и пытаюсь выполнить лабораторную работу :0 У меня задание: Реализуйте функциональную схему четырехразрядного демультиплексора 1:5 (Количество выходных сигналом - 5, разрядность - 4 бит). По найденной схеме в гугле, демультиплексора 1:4, я понял как это все устроенно и понял что легко создам схему для своего задания. Но когда приступил к выполнению, у меня появились вопросы: 1. Во первых, сколько для моего демультиплексора 1:5 (Количество выходных сигналом - 5, разрядность - 4 бит) нужно адресных входов? 2. И то что выходов не парное количество, мне кажется я не все так хорошо понял, поэтому хотел бы вас попросить дорисовать там в пейне или в другом редакторе(мб даже создать схему в какойто проге), схему это демультиплексора. 3. Как по функциональной схеме можно определить сколько разрядный демультиплексор? По количеству логическим элементам "И"? Хорошего всем дня :)
×
×
  • Создать...