Перейти к содержанию
    

Quater

Участник
  • Постов

    9
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о Quater

  • День рождения 19.08.1976

Контакты

  • Сайт
    Array
  • ICQ
    Array
  1. Спосибо большое за XG а то я думал что XG более продвинутая штука.
  2. Дорогой СМ, Подскожите пожалуста в чем разница между dc_shell-xg-t и dc_shell-t? И почему DFT commands у них разные? Спосибо.
  3. Большое спосибо, вот так это работает set clocks set resets set clock_periods for {set i 0} {$i < [llength $clocks]} {incr i} { create_clock -name [lindex $clocks $i] -period [lindex $clock_periods $i] [get_ports [lindex $clocks $i]] } Тут у меня по ходу еще вопросик почему он говорит что есть ФФ которые получают 2 клока, и что такое disable_timing? заранее благодарю. reset_controller_set_timing.txt
  4. Добрый день Господа,, Помогите пожалуста запустить вот этот FOR в DC set clocks set resets set clock_periods for {set i 0} {$i < {expr [llength $clocks] -1}} {incr i} { create_clock -name [lindex $clocks $i] -period [lindex $clock_periods $i] [get_ports [lindex $clocks $i]] } а то постояно говорит что -period can't be ''. заранее благодарю.
  5. Подскажите пожалуста, почему STA не находит ни каких проблем в дизайне а симулатор постояно падает на холде. Установил set_dont_touch, set_ideal_network. Может еще чего нибудь надо добавить например set_anotted_delay или еще чего. timing_constraints.txt
  6. Добрый день... подскажите пожалуста как можно сказать DC чтобы соединил TE (Test Enable) вход на всех FF со ScanTest на 0. А то он исползует эти флопы для обычных целей и поэтому вся симулация валится. Всё это происчодит если я использую compile -inc, а если исползовать compile -scan то все TE флопов соединины на 0 и всё работает как надо. заранее благодарю.
  7. Всем привет!!! У меня тоже такая же проблема. Все работало с ДЛЛ лекарством, но вот переустановил винду и больше не хочет работать. Что делать? Не могу найти другое лекарство. Если можете помогите пожалуста срочно нужно. заранее благодарен.
  8. Если кто слышал про Actel то их ФПГА не возможно скопировать Это подтверждает армия какой европейской страны не помню но анализ ИС это фирмы я видел сам.
×
×
  • Создать...