Перейти к содержанию
    

Управление Vivado и ISE с помощью tcl

Здравствуйте. Такое вот у меня чаяние - надоело давить на кнопки в GUI. Начал разбираться и оказалось что для работы с ISE, Vivado, Modelsim и прочее можно использовать командную строку или что то в этом духе. Проблема в том, что я в этом не сильно понимаю. Однако с ModelSim кое что удалось дотумкать. Для RTL моделирования я проделываю следующие шаги:

Написал .bat файл с таким содержанием vsim -do "do my_fail_do.do", где в файле do my_fail_do.do содержаться tcl команды для компиляции и запуска симуляции, которые сгенерил ModelSim. Если я создаю новый проект, я просто создаю верилоговские/vhdl-евские файлики, складываю их в папочку с файлом do my_fail_do.do, исправляю в нём названия и пути к HDL файлам и запускаю .bat. Далее Modelsim всё делает сам.

Подскажите, а можно ли как то так же поступить с Vivado и ISE что б они мне сами проект создавали? Читал про управление ISE и Vivado с помощью tcl, но как то пока никак у меня не соберётся полная картина. Заранее спасибо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте. Такое вот у меня чаяние - надоело давить на кнопки в GUI. Начал разбираться и оказалось что для работы с ISE, Vivado, Modelsim и прочее можно использовать командную строку или что то в этом духе. Проблема в том, что я в этом не сильно понимаю. Однако с ModelSim кое что удалось дотумкать. Для RTL моделирования я проделываю следующие шаги:

Написал .bat файл с таким содержанием vsim -do "do my_fail_do.do", где в файле do my_fail_do.do содержаться tcl команды для компиляции и запуска симуляции, которые сгенерил ModelSim. Если я создаю новый проект, я просто создаю верилоговские/vhdl-евские файлики, складываю их в папочку с файлом do my_fail_do.do, исправляю в нём названия и пути к HDL файлам и запускаю .bat. Далее Modelsim всё делает сам.

Подскажите, а можно ли как то так же поступить с Vivado и ISE что б они мне сами проект создавали? Читал про управление ISE и Vivado с помощью tcl, но как то пока никак у меня не соберётся полная картина. Заранее спасибо.

 

https://www.xilinx.com/support/documentatio...ws-overview.pdf - вот тут все с примерами есть.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

где в файле do my_fail_do.do
Это не файл. Файл my_fail_do.do, do -- команда.

Ну и fail, конечно, в имени файла доставляет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

 

Это не файл. Файл my_fail_do.do, do -- команда.

Ну и fail, конечно, в имени файла доставляет.

Ну что же Вы - просто TC самокритичен в названиях файлов :)

 

Vivado сможет сама создать проект командой write_project_tcl НО только после давления Вами кнопок в ейной GUI.

 

Успехов! Rob.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну и fail, конечно, в имени файла доставляет.

:biggrin::blush:

 

А спомощью .bat файла можно как то это всё позапускать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Относительно сред Xilinx'a не знаю, давно не пользовал, а вот ModelSim может довольно не плохо управлятся tcl/shell командами (он даже может быть запущен в консольном режиме, но вот только работа с таблицами времен переключения сигналов вместо диаграмм... как то очень сурово :rolleyes: ). ModelSim имеет собственную bash-подобную консоль, из под которой работаю базовые команды shell, + интерпритатор tcl. Посмотрите в modelsim_se_tut (он где-то в установчной директории), там есть немного об управлении из под консоли. У Altera при генерации корок генерится tcl скрипт для моделирования, на основе этих скриптов можно составить собственный скрипт, который бы компилил все файлы по нужным библиотекам, и производил саму симуляцию. Я, собственно, так и сделал:)

А спомощью .bat файла можно как то это всё позапускать?

На счет windows не знаю, но под linux что-то похоже пробовал, но остановился на запуске самого ModelSim, а дальше ручной запуск скриптов, но в его собственной консоли :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну с Modelsim у меня в принципе душевное согласие достигнуто... если б он ещё и код за меня писал вообще б ему цены не было :biggrin: Что касается ISE и вивады то тут начал потихоньку разбираться. С помощью .bat файла удаётся пока лишь саму ISE запустить, но вот заставить её ещё и что то сделать не выходит. Правда удаётся создать проект, но пока лишь при запуске её из командной строки и проект она создаёт к сожалению там, где установлена :(

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вот на эту статью как-то натыкался, может полезна будет :)

https://habrahabr.ru/post/308962/

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В ISE в качестве отправной точки можно использовать скрипт, который генерируется командой меню Project -> Generate Tcl Script.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

R6L-025, andrew_b, спасбо за помощь. О статье и Generate Tcl Script я знаю. Собсно тут видимо всё в сам tcl уперается. Решил с ним бегло ознакомится так что сейчас как появляется время читаю книжку. Если что то получится обязательно отпишусь.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Снова всем моё с кисточкой, как говорят в Одессе :biggrin: Как и обещал, всем кто не очень понимает (собсно как я) в работе с ISE, Vivado, Quartus и прочей лабудой приведу свой пример .bat файла что бы поменьше жахать на кнопки в GUI.

И так содержание .bat файла:

start Диск:\папка с установленной ISE\Xilinx\14.1\ISE_DS\settings32.bat xtclsh my_tcl.tcl

Эта строка запускает так называемую командную строку Xilinx Tcl Shell и передаёт ей команду на чтение файла my_tcl.tcl.

Собственно содержание файла можно посмотреть в документе Development System Reference Guide в главе Tcl или как сказал RobFPGA создать проект с помощью GUI и затем сгенерив в нём Tcl файл, подсовывать из раза в раз его, меняя необходимые нам строки.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

..Quartus..

Это layout_tcl_example.7z скриптовая сборка одно простого проекта в Q2 (вырезка скриптов для сборки). Если интересно, могу посмотреть Vivado - делал сборку для MB-Lite.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

С квартусом сейчас к сожалению не работаю, но всёравно обязательно пригодится. Спасибо. За виваду буду отдельно благодарен.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

..Vivado..

subj:

layout_vivado_tcl.7z

-> там сборка для блокового дизайна (на данный момент это какое то убогое подобие Qsys, а-ля "привеД из 80-х"), думаю не составит труда выкинуть эту часть.

В принципе вам дали ссылку на пост на хабре (Mar 3 2017, 13:02) где описывается базис работы, а здесь в приложении своего рода вариация на тему.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Большое спасибо. Это очень поможет. В принципе, самое трудоёмкое в GUI это создание проекта и его настройка. Дальше в принципе всё происходит быстро и без особых усилий. Лично я это дело оценил ещё с ModelSim. Сейчас работаю с ISE способом, который описал выше. На создание проекта уходит минуты полторы, не больше, при этом от меня лишь требуется запустить .bat файл, дальше софт всё делает сам.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...