Перейти к содержанию
    

как в ultrascale получить пользователю конфиг ноги?

то есть нужно для работы с QSPI флашкой после загрузки (нужен только CCLK)

подозреваю, что какой-то глобальный констрейн нужен, или неправильно включаю

STARTUPE3_inst : STARTUPE3
        -----------------------
        port map
        (
                USRCCLKO  => spmo.sck,       -- 1-bit input: User CCLK input
                ----------
                CFGCLK    => open,              -- 1-bit output: Configuration internal oscillator clock output
                CFGMCLK   => open,              -- 1-bit output: Configuration internal oscillator clock output
                EOS       => open, --rstn_cfg,     -- 1-bit output: Active-High output signal indicating the End Of Startup
                PREQ      => open,              -- 1-bit output: PROGRAM request to fabric output
                ----------
                DO        => vcc(3 downto 0),        -- 4-bit input: Allows control of the D pin output
                DI        => open,        -- 4-bit output: Allow receiving on the D input pin
                DTS       => gnd(3 downto 0),        -- 4-bit input: Allows tristate of the D pin
                FCSBO     => vcc(0),   -- 1-bit input: Controls the FCS_B pin for flash access
                FCSBTS    => vcc(0),        -- 1-bit input: Tristate the FCS_B pin
                GSR       => gnd(0),               -- 1-bit input: Global Set/Reset input (GSR cannot be used for the port)
                GTS       => gnd(0),               -- 1-bit input: Global 3-state input (GTS cannot be used for the port name)
                KEYCLEARB => vcc(0),               -- 1-bit input: Clear AES Decrypter Key input from Battery-Backed RAM (BBRAM)
                PACK      => gnd(0),          -- 1-bit input: PROGRAM acknowledge input
                USRCCLKTS => vcc(0),       -- 1-bit input: User CCLK 3-state enable input
                USRDONEO  => vcc(0),               -- 1-bit input: User DONE pin output control
                USRDONETS => vcc(0)                -- 1-bit input: User DONE 3-state enable output
        );

 

пример нашел с микроблейзом - там много "мусора" пока не понял, что не так

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...