Перейти к содержанию
    

nomerlin

Участник
  • Постов

    7
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный
  1. Хоспади, вы прям как ангел для меня, с меня на пиво, без прикола, скиньте скайп лс плиз
  2. Спасибо большое за такой расширенный ответ, сейчас буду манну читать в этом направлении.
  3. и можно ли в int переменной искать нужные цифры? например int i = 11313234412314; если в числе есть значение 344 то true и записать позицию где находится данное число в переменной
  4. понял, а насчёт огромных массивов типа int?
  5. я так понял, что я выбрал не правильный компилятор для новичков? или не расширенный. Подскажите пожалуйста какими лучше пользоваться.(у меня Win 8)
  6. ошибка так и осталась, так как она не связана с integer, она связана с string , так как после удаления строчки string программа компилится и запускается
  7. Приветствую форумчане, кратко обо мне, я начинающий любитель программист, немного знаю c++ и решил попробовать verilog, первое с чем я столкнулся, это работа со строками, и как создавать массивы больших размеров, например на C++ int arr[999999] сейчас конкретно string выдаёт ошибку matemat.vl:7: syntax error matemat.vl:7: error: Invalid module instantiation работаю с программой iverilog module main(); integer p=0; string lastname = "Tribbiani"; initial begin for (p=0; p<5; p++) begin $display("Vivod ", p); end $finish; end endmodule
×
×
  • Создать...