Перейти к содержанию
    

Очень часто встречается множество примеров с Verilog (мне больше VHDL знаком). Общее представление отдаленное есть.

Есть ли хорошие книги? для изучения данного языка ( не считая стандарт )?

 

Насколько актуален SystemVerilog? И быстр ли переход от одного к другому?

 

На чем удобнее писать тестбенчи? VHDL, Verilog?

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Очень часто встречается множество примеров с Verilog (мне больше VHDL знаком). Общее представление отдаленное есть.

Есть ли хорошие книги? для изучения данного языка ( не считая стандарт )?

 

Насколько актуален SystemVerilog? И быстр ли переход от одного к другому?

 

На чем удобнее писать тестбенчи? VHDL, Verilog?

неужели поиск по форуму Вам ответы не дал?

 

Книги:

1. SYSTEMVERILOG FOR VERIFICATION

A Guide to Learning the Testbench Language Features

CHRIS SPEAR

Synopsys, Inc.

 

2. SystemVerilog

For Design

Second Edition

A Guide to Using SystemVerilog

for Hardware Design and Modeling

by

Stuart Sutherland

Simon Davidmann

Peter Flake

Foreword by Phil Moorby

 

3. Lionel Bening, Harry D. Foster «Principles of Verifiable RTL Design — A Functional Coding Style Supporting Verification Processes»

 

4. Поляков А.К. Языки VHDL и VERILOG в проектировании цифровой аппаратуры

 

5. Pong P. Chu «FPGA Prototyping By Verilog Examples: Xilinx Spartan-3 Version»

Pong P. Chu «FPGA Prototyping by VHDL Examples: Xilinx Spartan-3 Version»

 

 

Холивар прошу не раздувать. Модератор

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Очень часто встречается множество примеров с Verilog (мне больше VHDL знаком). Общее представление отдаленное есть.

Есть ли хорошие книги? для изучения данного языка ( не считая стандарт )?

http://testbench.in/ - супер сайт по SystemVerilog и тестбенчам (временно не работает, но в закладки обязательно)

http://www.asic-world.com/verilog/veritut.html

 

Насколько актуален SystemVerilog? И быстр ли переход от одного к другому?

SystemVerilog это по большей части для тестбенчей, верификации. Переход быстр.

 

На чем удобнее писать тестбенчи? VHDL, Verilog?

SystemVerilog, но некоторые тестбенчи я пишу на Python (проект https://github.com/potentialventures/cocotb) - когда надо кучу графиков и математической обработки тогда я Python использую.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

SystemVerilog, но некоторые тестбенчи я пишу на Python (проект https://github.com/potentialventures/cocotb) - когда надо кучу графиков и математической обработки тогда я Python использую.

Здравствуйте. Можете подробнее рассказать по поводу Verilog верификации с применением Python? Ссылки какие-нибудь, или материалы. Спасибо.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте. Можете подробнее рассказать по поводу Verilog верификации с применением Python? Ссылки какие-нибудь, или материалы. Спасибо.

например - MyHDL

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте. Можете подробнее рассказать по поводу Verilog верификации с применением Python? Ссылки какие-нибудь, или материалы. Спасибо.

Вот всё что необходимо: http://cocotb.readthedocs.io/en/latest/ Поддерживается бесплатный опенсорсный симулятор Icarus Verilog.

К сожалению, завести это дело без проблем мне удалось лишь на ОС Linux, следует иметь это ввиду, под Windows не гарантирую что работать будет.

 

На счет MyHDL ничего не скажу, не использовал. Но выглядит интересно. Коллеги говорят что это не для тестбенчей, а для преобразования Python->Verilog, соответственно тестбенч - это просто обкатка логики в обычном Python окружении. Мне это не подходит, у меня все модули на тестирование даются в Verilog.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...