Перейти к содержанию
    

NIOSII Eval Kit

NIOSII Eval kit EP1C12

 

Помогите разобраться!!

 

В SOPC Builder создаю систему на основе стандартного проекта

добавляю в нее свои блоки (SPI, PIO и т.п. Все библиотечное)

Генерирую, переношу в Квартус, делаю назначения выводов.

Компилирую. В NIOS IDE делаю простенькую программу - подергать PIO,

выдать что-нибудь через SPI.

 

Загоняю все это в плату, смотрю осцилографом...

Все что было в стандартном проекте, все работает светодиоды мигают и тд

Все что я добавил и назначил на свободные выводы (PROTO AREA) не дышит

 

Что это может быть? :wacko:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Может поможет, но не уверен!

Убедитесь, что Ваши сигналы реально подключены к контактам, т.е. в bdf у выходов должен быть транспорант с реальным назначенным пином.

Дело в том, что по умолчанию в стандартном проекте в назначениях все PROTO контакты уже назначены и там нужно убить или заменить Вашим именем существующий PROTO контакт. :blink:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Все флаги с назначениями пинов в .bdf на месте.

В Floorplan все пины видны на своих местах и подключены к

внутренним схемам :(

 

Для проверки создал примитивный счетчик. Его выходы вывел наружу.

Та же фигня. Симулятор показывает, что на выходах меандр,

вместо этого на плате weak GND.

 

Буржуи сделали этот кит в виде бутерброда: на основной плате стоит

вторым этажом процессорный модуль. Из-за этого подлезть под FBGA,

чтобы посмотреть сигнал на кристале невозможно :w00t:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Это Алтеровский кит? У них на сайте сейчас только ЕР1С20 и плата вполне удобная. Зачем залазить под BGA? PROTO ведь выведены на разъемы!?

У меня плата NIOSII EP1S10 и тут все PROTO выведены на разъемы!

Описанное Вами проявление было пока не убрал предустановленные назначения, а так все нормально!

:huh:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

To PavelS

 

Большое спасибо за совет.

 

Действительно Quartus отказывался принять двойное назначение

на один pin (хотя никакой ошибки и не выдавал).

После удаления лишних assigments все заработало

:biggrin:

 

Тему можно считать закрытой

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Гость
Эта тема закрыта для публикации ответов.
×
×
  • Создать...