Перейти к содержанию
    

maksya

Свой
  • Постов

    252
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о maksya

  • Звание
    Местный
    Местный

Контакты

  • Сайт
    Array
  • ICQ
    Array

Информация

  • Город
    Array

Посетители профиля

2 891 просмотр профиля
  1. Решил убрать с книжных полок помимо пыли еще и несколько экземпляров литературной продукции: 1. [500 р] Лайза Криспин. Гибкое тестирование. Практическое руководство для тестировщиков По и гибких команд 2. [400 р] Труфанов А.н. Symbian C++. Программирование для мобильных телефонов 3. [100 р] Луиза Тамре. Введение в тестирование программного обеспечения 4. [100 р] А. Медведев. Технология производства печатных плат 5. [100 р] В. Олифер, Н. Олифер. Компьютерные сети. Принципы, технологии, протоколы Кому интересно, пишите. Макс
  2. Про спешку это Вы верно подметили. Написание статей не является моим основным занятием. А та часть свободного времени, которая обычно отводится для журналистских практик, не всегда соответствует установленным редакцией срокам =) Про неаккуратность позволю себе не согласиться, т.к. я весьма добросовестно отношусь к любой выполняемой работе. Я готов компетентно вести беседу о правилах написания фамилии "Михайлов" во всех ее склонениях, а также последующих словообразованиях. Однако не возьмусь обсуждать лингвистическую корректность названия систем созданных Булем. Хотя некоторые размышления на эту тему пожалуй опубликую здесь. Есть такая порода собак - питбуль (pit bull). По стечению обстоятельств слово "буль" в собачей породе совпадает и по написанию и по звучанию с фамилией математика (после руссификации). И мне кажется что, например, выражение "питбулевский хвост" окажется более жизнеспособным нежели "питбулев хвост". Почему правила языка трактуют иначе в случае Буля - для меня вопрос. На ум приходит также, например, выражение "пулитцеровская премия", основой для пораждения которого являлась фамилия Пулитцер. "Пулицерова премия" возможно тоже будет резать слух журналистам =) Вообщем если заглянуть вглубь проблемы, то ее суть кроется в переводе. Процитирую свою школьную учительницу по английскому языку: "Перевод с английского на русский подобен фронту - без потерь не обойтись". Скорее всего Вы правы в том, что выражение "булева" алгебра более распространено, чем "булевская". Интернет-поисковики подтверждают это. В дальнейшем пожалуй буду придерживаться мнения большинства в этом вопросе, дабы скрыть свою безграмотность. А точность перевода пускай останется на совести составителей курсов и книг по математике, а также выпускающих их редакторов. Фразы "уровень верификации" и "верификационный уровень" считаю синонимичными. Большое спасибо за замечания!
  3. С небольшой задержкой, но все-же =) Статья посвящена открытой библиотеке верификации OVL. Опубликована в журнале "Компоненты и Технологии" (www.kit-e.ru). Любые конструктивные замечания или комментарии приветствуются! OVL__part_1_.pdf OVL__part_2_.pdf
  4. Используйте FPGA начиненный микроконтроллером и исполняйте свой код. Не пытайтесь собрать систему в домашних условиях, Поручите это дело специалистам, как правильно отметил уважаемый vetal.
  5. Боюсь Вас разочаровать, но статья носит скорее ознакомительный характер, нежели описание результатов практического применения... На данном этапе ставилась цель привлечь интерес к PSL. Что касается синтезируемости утверждений, то данный вопрос еще не в полной мере мной изучен. Думаю в ближайшее время можно будет обсудить эту тему в данном форуме.
  6. На правах саморекламы =) Сегодня окончательно согласовали верстку. Статья по PSL должна появиться в пятом номере журнала "Компоненты и технологии". В силу обязательств перед редакцией, выложить в общий доступ электронную версию пока к сожалению не могу...
  7. На этой неделе должна прийти верстка из редакции. Видимо в мае-июне появится в выпуске. Я смогу сообщить конкретно чуть позже. Я брал здесь - http://mirknig.com/2007/09/01/proektirovan...ogo_bojjca.html Если возникнут сложности с пропиской (или просто лениво регистрироваться), то могу перезалить на FTP. По мне, так книга ценна именно системным подходом к проблеме. А приставка "Курс молодого бойца" как раз и указывает на целевую аудиторию.
  8. Попробую внести свою лепту в борьбу за построение электротехнического коммунизма =) Начнем с родного языка. На моей памяти есть только одна статья, посвященная АБВ (ABV): Долинский М. Assertion Based Verification – верификация, основанная на утверждениях // Компоненты и технологии. № 9. 2004. В ней содержатся вводные сведения по OVL, PSL, SVA и т.д. Убедиться в этом можно самостоятельно, скачав приложенный файл. Кроме того, в ближайшее время в КиТе выйдет результат моих собственных изысканий на тему PSL под рабочим названием "Проектирование в условиях временных ограничений: верификация проектов". В статье рассматриваются основы, определения, структура языка... Я пытался дать как можно более упрощенный взгляд (нисколько не теряя в смысле), чтобы привлечь к проблематике максимальное число страждущих. Посему и примеры кода чуть проще нежели у многоуважаемого CaPpuCcino =) Любая разумная критика после прочтения будет только приветствоваться... Теперь по первоисточникам... Помимо упомянутых в топике источников хотелось бы отметить книгу "The Design Warrior's Guide to FPGA" by Clive Maxfileld (тем, кому чужда аглицкая речь, можно прочитать то же самое на русском - "Проектирование на ПЛИС. Курс молодого бойца."). Книга достойна прочтения (IMHO) от корки до корки, но конкретно для рассматривамой темы будет интересен раздел "Формальная верификация" в главе 19. Более специализированная литература - Ben Cohen, Srinivasan Venkataramanan, Ajeetha Kumari. Using PSL/Sugar for Formal and Dynamic Verification 2nd Edition. VHDLCOHEN Publishing, 2004. К сожалению, в отличии от предыдущего опуса, в электронном варианте видимо не распространяется =( Но некоторые страницы можно почитать на print.google.com Кстати о старине Ben'е. Он состоит в гильдии по верификации под партийным псевдонимом vhdlcohen - www.verificationguild.com. Куда я советую вступить всем интересующимся. Членские взносы отсутствуют =) Assertion_Based_Verification.pdf
  9. Работоспособность такой конфигурации с точки зрения функциональности не вызывает сомнений. Ясно что адресные и управляющие (RAS, CAS, WE) линии разделяются между всеми микросхемами памяти... Проблема в том, будет ли на 100 МГц фунициклировать линия, на которую повешено 5 абонентов (в Вашем случае 3)?! Требуется ли при этом выполнять согласование, например, по схеме "звезда" (с равными лучами)... Вообщем проблема схемотехнического характера, собственно почему тема и завелась в форуме по трассировке ПП. P.S.: если не сложно, то киньте сюда скриншот участка с разводкой между FPGA и микросхемами памяти...
  10. Речь об одной микросхеме SDRAM? Если да, то это не совсем мой случай... мне нужно добиться синхронного управления контроллером всех четырех микросхем памяти.
  11. Доброго времени суток всем присутствующим! Возникла задача разводки PCI-доски с ПЛИС и 4 ИС SDRAM на борту. Структура выглядит следующим образом: 8-разрядная шина данных каждой памяти независимо от других чипов привязана к ПЛИС, а сигналы адреса и управления - общие. Память управляется контроллером, настроенным на работу с 32-разрядной шиной данных. Есть мечта (обусловленная требованием сильных мира сего) разогнать обмен FPGA с памятью до 100 МГц. С вводными пожалуй все... Необходимо подобрать схему согласования вышеназванных компонентов. Натыкался на форуме на сообщения, что проблем вообще нет и без согласования (http://electronix.ru/forum/index.php?showtopic=1803, последний пост). Кроме того, например, в схеме отладочной платы у Altera (stratixii_s180, DSP) 2 чипа памяти подключены к ПЛИС по такой же схеме что у меня, так у них в схеме даже согласующих резисторов нет... Встречал и совершенно противоположные мнения, мол подобная конфигурация вообще не имеет права на существование. Поскольку в последнее время с разводкой плат дел не имел, то многое из того что не выучил уже забыл =) И поднабравшись наглости, решил параллельно с самостоятельным изучением проблемы завести соответствующую тему в форуме. Просьба сильно ногами по лицу не бить, а по возможности кинуть в меня дельными советами. P.S.: варианты модификации архитектуры путем замены на DDR SDRAM чипсы или DIMM-модули просьба не предлагать =) Магии типа "моделирования в HyperLynx" не обучен =( Рассчитываю на что-то вроде Reference Design, чтобы в случае неудачи объяснить руководству, что не я один такой...
  12. меняю диплом по ПЛИС на правую почку суицидального другана
  13. Владимир и Mikle Klinkovsky, огромное вам спасибо! P.S.: в паттерне оказалась недорисованной одна линия в слое Silk и Assy, но это уже мелочи. Еще раз спасибо.
  14. К сожалению не открывается :( При открытии в Library Executive в библиотеке 1.lib виден паттерн с именем UNTITLED, но при попытке открытия выдает Error 12037 : Unable to load pattern UNTITLED. У меня установлен PCAD 2002.
×
×
  • Создать...