Перейти к содержанию
    

fantex

Свой
  • Постов

    56
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о fantex

  • Звание
    Участник
    Участник

Контакты

  • ICQ
    Array
  1. Надо плату проверить на целостность сигналов и подобрать параметры резисторов.
  2. А на вывод OSCSEL что подано?
  3. Прилагаю файл в котором описана подобная борьба. Electronnye_gadisty.rar
  4. Есть такая микруха CD4046. Это PLL с генератором управляемым напряжением. Отношение максимальной к минимальной частоте до 100 раз. cd74hc4046am_1_.pdf
  5. Гальваническая развязка, в данном случае, нужна не для безопасности, а для предотвращения влияния помех силовой сети на контролер. Помехи в силовой сети будут в любом случае плюс помехи силовой части самого устройства.
  6. U0RBR только чтение принятый байт U0THR только запись передаваемый байт U0DLL доступен для чтения/записи при установленном старшем бите в U0LCR
  7. SPI на AT91sam7x

    Лучше скажите с какой железкой идет обмен по SPI. Здесь люди умные, подскажут как лучше организовать обмен данными.
  8. SPI на AT91sam7x

    LASTXFER=0, CSAAT=0, DLYBCT установить в соответствии с требуемой задержкой между передачами байт. Если работа с SPI через PDC, то задержка не требуется. А если через прерывание, то скорее всего надо задавать значение задержки.
  9. Надо выделить все компоненты, затем выбрать Tools - Component Placement - Arrange Outside Board.
  10. Выделить все Via и сделать Highlight
×
×
  • Создать...